From ebe56f509df8294c968184bf540b5dbc6f9e2998 Mon Sep 17 00:00:00 2001 From: Constantine Khroulev Date: Wed, 23 Apr 2014 16:46:06 -0800 Subject: [PATCH] Fix image links. Replace the thumb key wiring diagram. --- README.org | 14 +- thumb-diagram.jpg | Bin 54237 -> 0 bytes thumb-diagram.png | Bin 0 -> 13321 bytes thumb-diagram.svg | 452 ++++++++++++++++++++++++++++++++++++++++++++++ 4 files changed, 459 insertions(+), 7 deletions(-) delete mode 100644 thumb-diagram.jpg create mode 100644 thumb-diagram.png create mode 100644 thumb-diagram.svg diff --git a/README.org b/README.org index 8eb4600..8b21885 100644 --- a/README.org +++ b/README.org @@ -23,7 +23,7 @@ take into account the fact that the thumb is the strongest and most versatile of the fingers. This design avoids both these problems while taking a more couch-friendly single-piece approach. -[[atreus.jpg]] +[[./atreus.jpg]] Having so few keys, this board is pretty idiosyncratic. It works very well for the kind of work that I do, but it probably wouldn't be a @@ -120,9 +120,9 @@ have to place some mis-labelled caps on the bottom row. ** Case -Layered laser-cut acrylic; see [[file:case.svg][case.svg]]. +Layered laser-cut acrylic; see [[file:./case.svg][the =case.svg= file]]. -[[layers.jpg][layers.jpg]] +[[./layers.jpg]] The first two shapes in the case file are the top and bottom covers; these should be cut on 3mm acrylic (black in the photo). The third is @@ -209,7 +209,7 @@ symmetrical, so before inserting the switches it's important to ensure that the plate is right-side-up. Use a drop of hot glue on the top and bottom of each switch to affix it in place. -[[wires.jpg]] +[[./wires.jpg]] Once the switches are in place, the matrix must be created. I recommend getting red wire for the (postitive) rows and black for the @@ -218,7 +218,7 @@ exposed at intervals matching the spacing of the switches; see the above photo for details. You could also just use many short stripped segments of wire instead of a contiguous piece. -[[thumb-diagram.jpg]] +[[./thumb-diagram.png]] Most of the row wires will need four segments of insulation, but you'll need two with five for the rows with the inner thumb @@ -226,7 +226,7 @@ keys. Physically the inner thumb keys look like two additional columns, but logically they are treated as if they're in the same column with the left one in row 3 and the right one in row 4. -[[matrix.jpg]] +[[./matrix.jpg]] The exposed sections of row wire should be connected to the higher of the pins on the switch using a diode. Be aware that diodes are @@ -253,7 +253,7 @@ in order to solder it, you have to flip over the schematic in your mind too. If you count your columns from the left, you'll get them backwards. (Which I actually did for the first half.) -[[controller.jpg]] +[[./controller.jpg]] Once you've wired one side of the microcontroller in, it would be a good time to test it. You'll need to compile and upload as per the diff --git a/thumb-diagram.jpg b/thumb-diagram.jpg deleted file mode 100644 index f702a13d4ab77cb5e53637a6500f42770c0172c7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 54237 zcmb5VbyORD&<0A2w79#IKye9Hic4@Q?obE>hhi<-zF4r}?(SCHp}0$MD8(I$6-tZs zhJN4u?%#Jehr>Dh+sW)R&oeVSyZO8HcLRk$SzbvV<=L}mD2m7(v8pb)_rBPoSBtDTmvu_4oPAUZJJ z>=}lAU@m6*7gMGDR;n>#&C*!)-nRuJGB^xctriR%gSUrmwl@7M{Z_@y#o_WbgIhYI zV`)lqj+p#Z($MtWFij;vU_opJsnwT2HE?oxk%hLlRU~1(jE{Ygb;-EF<~wVuA^|Xp zJ!Zs=H1t`zRx|9E-kE{~nL9=AF2RLhqRDBi2(Y6|Z(N=#EZ!~E9wQJI5Lcp&JvY5g zrIV&0$HXtEn@MpuLYOcSOxD2$4U^HA%BzPx#Xau)YVVhIpFbB-Ci}0val13n|0ZnN?<;I$PZ2`- zoi{B$F0}xsOoN%4S|l}fR%Zs29JO=CHL*2&;J7N~`;-<(F->)-;6eLe6tU&7MhpXp zOt74YA_jb3K2M@jO~-M=Uw#qP!)MIs_2HwLZUpEnO0Qo{nRE92Z$m~YxYpaE@?1_} zK#@CuAWc11TOciQ^c2pKb?ng*yV|TNaGh!9-sB;F>SW55{gH3wI(h%~tVJx9;<%NF z1!>&e(5ZZ z)6Nz|Qm#4ieCW_i*w@wBb(-6~%XG$GdFasTveWzfX2OcW*!RYXU$fC?l%9h6npM56 zL27bE@{YZ&Yx@de$qvSb{&O#{U#zENdy&Vh zgr~&W=Hu;Ypc{*c>wTU5xxn4d;s!by!(Uao+};2REBYcz`$9PD=nNuaw9us?>g!IH zSJ&`I7-z+>kzXcFK5U#R6Ic1`$(7jGx#lE4&+dS+tV54Xh)}}IYWLQe_h|p|(^N@c zIIOgG4h09<8^A5X*;ziTR$`(w1wJ1>IJr<^RO>jcPDu(~G=0H5s7G#Gvi0-3Sx`KO zcn7W3n$?=os^hsW}1e(m`qvfnvFC!>Fge0=dXRn;DsUW7aoeGIIUTc-+^u z;~{31{_VfNd^r8t;A-70E41U7PbaPQ`n&0=F15(yjqZNsD60Ts(zDG)bmC@8cEqi! zu4$Hfwa;W7Qn=O2n;z`mS)CHxR{U-U>ex_|^sCI*1zRNjKQe)3R9veSnW_L)fuo7< zk;~tD?5f1@B;K66n0+`D8B<<8Qz+kcH#LiiOPJpEZ4*5D3QWM*e>ExKVAQdT2wGm> zcr!`@Ky5_0frNcttfwi^=W@6tL)>Z0Z%LP9(@Cn;6Q)YpvCv`G%iI285`BZGlu_J4 zvi!i9Pd@q?z00%}7bb5+Y8P|+^P>dy#iry}kBhf3s9M*W)kb%3zmuV&^ zn}qzbzTV8j5pQ3;D0w#m_8Ui}p~sXm!9`NNWnv46frg-C#%q!bedG$%c& z^1q2#f^d`)$PnUsw{1$3gyp?`f7`y=KPJFl?d($FSsfE+Nb7*>gVV9EQno{MZrAAF zO7%_}HDKo3V9juP>*ON1|J?5Uu&t@qy^}j7j7ldr)!*q6#g3s6Y%5QsItq_y(}PandB_mu3oE`I?`5`c`FdEzG|1b&LhV$0##UD@?>{xuGT! zH77GTGl0*U3A`!@<>2@gJnnMz;Xj|suXumznC2e2y zJ3Xd{yMJ|1+5w(>AaBD!<`lvx*-Os4RN9{A81Iu3CeX?=Oq$s?L{Slt|EZH(%C!=HF^?KQA)QVY=`NN~bDk=J7{p|UdH^!-zcid>wXeXD)&R3i?x zQS+Mnp?W$x0*zbZZvJT(sbtV%Mirv4<9#Ky^0weVa+}u+gKo>wMtg0$z7AjZ+#VVi z-j9wh^3NZ<&Rn-C-*KlkDZO%Xdx1`?J4knPiT#$7|Q7+nkiEtkcTyHc-GL|Oh*)$9HxxRFp>5CKX^Est#$vp z+Q}xHGSL+7!MgX5Sc-G!=bS{N#himHnxB(b>l#z9-}srrsFm+s5D5xzn#2;CVNH9Y zRKM%4Z4M_7ZKM7O{Q1^F=UzL#mB!cBmU%pu^}~Maf+f%D3M8Dk;J45|#ZvrEGYL(3 z%D-NrJ}0U&A|vN{?9$7D@9%$4PrDb!&%<7sUeVO$H(=TXUY{LEm|XhbEO-ay*`T_p zjPJd1DBV>gu~$*F?0qH;9y-jSS5fuw?pQZ2+WEpvwAW7c`-`ZJaM1^IJq>5mM|{Sk zG0OSAnlV|vAi})oVU#LgZ)X-lwj3iBWMy>R)Y+;acj3ETIX?T!HllBB#I17ZuARxh>U{vmZN4xGe->l1=|M$ zzYy?7U14AaLKnk#{vTo}gU#7GaX?{#i2X`uB45GJ5)t@U6=g9^75~e1?MYkGp zUymHDe_8&7?sp0+Omt^9P*}6SJ5m$;8jNOi1h7k!ac}n#TM(g`21DH$Yz+LJPPKwl zli;#?Dtnx+R3?aU#q;A?v$i|eou-~%5B+>JGz%l@2_MF1=wJ@F?*(5X3O28sE6Ab^ zfhg&HM`!NkWva7mq%#wgb;~fUy!>%{&1{<{pHB|Zct*yZJ-}G?|7(C!PPtUq3HJCN9`;mBaH^HRXbOH7lv5{y#&&Itev??guKMnQZ%B%7bnvP2*|%O7oF|% zc?eBDx%kf(RP_EWUW!RINpNwzj1pxCWukPdvTca3)#XEUeMD<&?jVt%P+5q*Wd=&r zTa;8P`3%Lx{9P!joz{Nae%?Ooc#uxfG{am-oC>t+rFEfw^&;$g>HSrYiYbkoM9g~T!Dphc~AdZ~}=Dts-J`n+>6qpvFk2bfIq)Qg0mntf_4{*K#0kndx zE6_C|J@pG*sYglt%E4?OXqpH^#|K#2&2W8A_>ZO%4FwtrgZC6?juVseHOZCt($Xkq zj>;>B+llQH)ZRN_yC_Y_B+A84uU0nN5fY?V3M{+dE?#!JhcB({?Avl=)X%3NLfs?U zL^S06)0$g#cd0w5#i$b7Bn0dmg`j9GX&18w9S%VAnOb@rh;+3(ci)NO? zUiazgHZ-NYaZ2D#7xby8VN8|RMF;{+rL3RpkcGHsMOA;KKCG$^4fnQjo*l@lUrcd` zE?51u+;{8~SXb`$6_nfA$pB*4H>qyelr@T2p|hJ;o!ij9%YD> z(Ic@9&tode#fZdk`~r_kN)cI`JoL!^2uUQtIzeR=)K}Br$#a}`7bxSy!c6)mp|)bs zd9ULDDlT+?R~?)$gG&@*7Gc~|BSjD17QvP`lB4u%Z3{Olg$S}Ku^Tdiw+KedJt`qr z*;QN;_PP!A##YYr6HpVn6zS0}tKjNf%vxAT4PEHVNX|YKgt?3y=UTMH&rQ1esTwbS z=_(wKmuYi~V2X~RmXsO^S*v|D4#6 z=$X4axI-z}%CnNA?w74p0UTOMu`OqYQ0IcA!!gFXMl?On{yL7VBM(2h+-XMc05mIV z=_GV-di@+)o{kTx6k2H&;c6A%zUUZCh1(<2F?5yWV{-x> zOl(#x7+2P?x-u-iMCq++ET^Twcm-M_Gt>g40(xB~f^HC8dgPW)f&!OeV-JZYmACy` zpbRINnODRX%{zHMLa6Tc#VXJd=r*B6RMF^1KQX${l)=@SR5e2-YoiwTL5Q+e zPlrvLz$QTkQM|-f7iYSQ#ml06h^a*oHmDbgQ=kbblOZrb)vVyFpv*fMCQK)sC)BbC z5KQCCLnG#|{NYQn`$Men-P}tHFH*}1G{OX?Q#rH`#TFXU45Os%xbbZ$ZM^U}1E*4< z+P%@2R?`gH_JqU)Ixv`4a1PEKk>*>J#OGv5Y+9xDY_g1`N?tCOp;Z^bM3?Vrt<^La zc}FsMd+W7VP=K`)6k&v#ib?{v@$Uq%yzP~_FeB=yGX$9C^X*FujHBez^kuaZUzJ$R zL3Qd{qnL1ILH4Zt;v^9-cNH%r>eecTH|h*rn4&e1Lk|#grh$%%`W!iJK@LX#jXs_| z4Lt}+2#AOo7)g2gq%oKPKz=f20YMg!lpGj24S9)N_ze9y`OMbsy7_w_@|@%O_wT}d zJm_^pI)X$ATDs)|0GD@%uKwIO1NV{F$=SWP=8vLLeLf1HmsSEGO2*_7oI#j_EE@}G zP&(T4xqr{-!P+^q826t@Ne3}p9^4o_VFm1<5Q|@`!7zzx-T}slxUe7!Cpe2dH2^m* zY$4@~b~w!hJQ-%pum|F&qI7~6BKLT{c#&k0RhrXin{l@;VF?}v|F3s(H_xY{YEqZZ zxBZAU@LnO!hC_mfp23abmJ}ltRdD%nO99?xiV2(#p{TOq01L8UnHA&V&NjFb{-bk_ zubpe~@PL(N-D_jU!FV$6AoN8|UpkQgi_Tm8F$ObmfSnV5E53RHNARtk6OQ7wUsrdW zb0q5JS&>?`EpPT-_~HrI^t6OvCpFG!#u2iQ8oltOKpC2TK`2M z?MVe-boBb+T zB$H+`T0d|RI8!uFkUFoh8tb=x`iywnVLNJb@SNp4+P5cs#vwRQ=nPXtrS>5&9*bW# zAfHAN&4{j~%v1DF(tQonc1X|HT-P~1d3Jda2H;~q^fw$1mFL&nbaVw0d5SamHHsb@ zd&;f0LD+&YXFst-x^fjJDiiIqg@Du5rU1;?4lXPkLDd(6l(XLXh)cu9uQO{ruzdX4 z6GBpSA<0k!JiphW%B14F75?NeRx2$&XZ}S=HnR>mbhYs3*#ZABoar)gl$7l6eXAyn z(KI(yI6CO-=Y0_PBNIgY3iz!+-ZRWpp{gN%+&=G9n)R!V8V1z>!4_+*JovZS+&0Ku z;g1WqI_2#TrIMF~uKqX%z8rt(@7}oV7#N#tGL=xVN4E?|>c=n}sU-=virTAiDyP2o zI?ZR)Vq1=||xxyN4P2xX;yVCxE{ zDr~25+Z!I?@S~A+r^&96QZ9JAs$*3Y92I9d%DZ#QNN+HQD-ur`}gYa z+pQUl#lfM$p-^gM)M=#$)yfBX2N>=J&b79M+MMJ8-Uh00Aw?yN`YLL!Kqmk^BvO`V z-3MpjN^vv+p}oY_;-E4AUNbL&ZlW&m8Hi zuB>@!mJ%|y{4W~hg5Vyln72}@m=3BdzGbN%%SsgdgUqVYGWIxg%4%v@(9+`akP9^4 z33;&qQyG2S1|n>k`M#BON~26#rPtqyKzK~b14RK5-ToX!cRo0YvE4)Rj};FUMoNm}@5Gd3KCtXL^PR5VU$sGAyl3pJ+n+1WMuYT8Y@6~c%4t!KQ6b(@$Onr5YA z3qH|*3b*Mhwk*$@TjJP(ksiuPexrP!RUQ}BTRis1jEgrwkGV8SGqY~5l(R|YeSxeB zD8d-R{7j1ogdw%h>s;Au;+|DPyOu)7_p!%4QbMRpNbL0*U;oCIUR}O0Uwv+qY|p7; zA=r_zX$6<=c1jwCO;xY`17NgoySJstwZqh;MO`~$KaYvaPD1HK0!p18l^RnVWsGyx z@f$@Gtl|?f!8q5g?hh*ZKO2Q$4Rh1 zn_3n#E@I?)^z@KzfKY%XcfB|#_5I05kb1Frn@A?Vuo?9lbq;wfQ?HUlV?G}9&t3nu1QsunsjYBI4BC{MTH=>0{hj9lU6 zClJ*^jaxMiC36R3!Ys#>0=UG(NCNHSj7!rwGy zh||1yk!X@yrr3jrzQ4W{UA3?P6S(di`m&N_evhVs5Tbxk~WZ!;>#K|c5 z@tTOCo<}7jze*$MvX|_@lO}3f*T<$l=s6w36#i#We0#d zuLcP0OW5v$MDZ!$OQtSaCR*0Sgt>$4vr$_l#qc^~#>oVXKNPOV%}Uv;Z&cO66T5~m zy)MOLx3Sb>lf=6TgvA`=m13CCTX&I zg7MfJATb7ZDu9`~6%$vFo5LfXu!2Hu2i}=K_tGe#M~W~{tic;UEl8kF*+FS$Tkco| z<&1He*iaysRRo$qu=ZB2Dm+Q1NN9F$CV+xH64yZn6O+(TM|r}TM@&v@-Hvyw>1z~* z2>$GJHEd?q(sQZ8le{MHN>tf;mlT8IoE7qQlh6yukS+j>sFD!nB>a$mhO5MzE|i(q zV{k4Y1n5cpE_JAtsA>1RF%m1rE zd?6Y04+qqui0YAnpOm=cIPj?I!{T3*4xEPSSB@H5HLpDR8eMEFwvATxTQ0c z2V0@*Spez#&%@ozhNc)jlLsuiqXUSBoxJ46+ABg|YBjzwIY7+NiK( z&@DT5t&7tB7NTu%E`pDC&xaE(i6^B9W@o~D3t$yhv{1Rm09L^2+zVoTIx%C@Z+9Xh z8?F1HSz6jJq`fV(;9tDHT#S>8hjDwLO6$CEtD&{ zZ2sm-;05qPKa!puS6R+HROs9Bs9FS?_s1#jE0<1$lhG>cSj`?GpYkc;yvbk7nI-J| zj3Xo6s08atv0Ql2_@&)F%q8B~RDyUX)d#h!@)(Kf)8%{afHU@?8#n$zn}BD_pcLKM z5)2;w`CQ9pE)v0T>$0|WORx6%c3o>h)nhbO@<;X<+5_v=WA>NZ>g4@SJ!FJ$;r)Y5Vy#viKW9*VnaTv`Y%m~M-(3)VR zW&dr>>qhPH8`V~1868?A_jB?aA|B-X&Ih(LSQ_LVWRjPM1bp?t{gN%r@E+&;GX|(3-N~pq0&-*+MY{giMO`?I#9T4As zTrKoM%=;qX%ta1yL5M5`gkV4#E)PR;E}n)f&<-ey${@#86cXA`zmVKS?Tl??=$MGF zy>Lrc%x$?5w0o6xM$wTFkwJnyMXEqRmiCJ(18iny#mC-Mm^A6f5tkFfIr8vrXb#ZEzP~C#V}n=qGV+U` z8&Jc%c`76#tY_Lx0R;?G^mBV?TNQ6KZ;6l-5fnSDoMdO%TQ|ul z+bsG`a__pV)pw7el7zJ3u)xF7(Vc$YUpY{d!JNf`U1gb>s;1vibxc*GS$iB=8!@6k^bi#IJRgFk+&9v@Uwl0qCwXbBu80)_? zqH8|oCaF^rw=JBW9@t$bdtk*8|x! zE)+tUe7(7@Fy2AMK}X95zH}PgP=dscx`yna>AQF>oGZ}5)y%_3;-az_w8`5-@z!xl z-Z;s|GHRx!Th^Lttz2oK*pyibK?%xUr<6tc2wk1?wN$p(yXK_T*dgCeN# zfQaer8Qg`9A;;fZLUHs8-EvonDQ!3uYdq_7J`S!7;>%+<$Z?0cYF<2q@m;ouTZeE5 z_wV|xDcl;0t@p$nG#Gfi1JXee2vQKg3tX??&bc99#<*NK3DZ&5O)5aWpI48IWW@v! zLTiD`n1Ar4shGKO%pi%fw208*gE07tOHun%M;~3fiHV8twGvOf^nJ=%i}Qa~qTUFu7gEG&Q7dAS^Y>mvd z$jHdhOv#VBHB-A_!Uk+}1jwQ$XjdL1B%)?ZgPgBW0@7sTdOBw@Tqst~fo<^u$T0-p z*V?ZZ!;A87@c7@aXnS$Ru>c^nC>cOzrWLwiquXoxScRg2fq@fYf?X*QTzD}|Tb2zK zNW6!f)R z`Nq@#J3k{NdXCDZ$;eB<0OFUj;Co9fjVAjJAoFi>hFm21>@UiHe^Kb~1pGz3t>XP& zT@xuY6Gbu&*KtSY??(EQ`4Rno#f>?<>MTqh)o(q5A5RaIP{T1dD~=X*JJQM1Dk7BA zB?apT$44@0TA33a)Nz_LBV6)7h5tJdcW~RaWz`t~aK(YiGG6Ll%I=hDg(#D-N9325 zBmcaV^Db!5dq|gnx9fm4bz~R`+AnUWF8-p_DFkZ@=#QtHt)ITYEa$cJV=}6f5;rE##A!!%6{5xUi_*O(N863yj#2kAGMpzDnb0UGl;kwtz6X7Cq zL!vdf1=^|D2_3=j2IddfaYk+#l7l0e2A(h_V~$5I{s#^`Z1{hWk=BaWBtc@JOUfQ5 z$G!4PwKHi2i8^5%5^`p9X5~b7B+h)uV@!m!VJA})e^Hcj>bec-MebNi8e>k)gX5Jf z2U3{hte&pjavhw5o`dTBndrd2qbx?cBkJkm;bCJ>wj{BX)JJuQtS<1{{~-$?d+ z1wckhmN6EIBx9^jnO12rGa)h{gez0u`WLRYS5kU7GoP&Bz@G49AMH|ph$O;F1QY7$ z66g}%R%y5pn_tA->(w-e*F5+s{?v&4lduhp5C6xe+JE>68dr5u4- z;Af9A-&nLy}+8 z-EZth<~Y`PB~C*!P6H$o$w>DUtw5AGfkpoV0V!CMPVv%WQ7Px}r$YRbO{N1wGOp=b z&iakaaLYG22ucDZ>3}+l`5frTAQK>6^aj?7NAb7-dF*29wO#@mA3hM=#ck52z3Quh z6DYVyU)lCmQ}U#D>3pyzQbrnlvYIRaI=ZDY6sUXqkJSIeGI%TF&kI8FaOQt8MY42OX|NKWvxE`rS&Zm5WWMd6-|Nq2> z{5pX4T-u{T#pVc-$fo4rF{DSe+Qs zcBLfsLk8L}326x_L4gYm4WMQBrIp|R@V5PsqQs9cLS_ePGy;Xe>CcIF zw{E5xL@Lbj6NB4jNWiT~8IZ1MB98`ts*pYv=v2eMnNb498>C$x`oQ1Vr+q>y6-kad zuG>G%MB!kBl}WrG@W}H`Ms%TsqF<~<^o|%nXRgOE39N~|Hv&ushjT^}8PqdpsSRl( zFZD?g{~(~f|IAX;l2&zeqJ&(8L<`BxT23UiNB@&FYT_#cUp`@ly{BPE)qP)Ye%Vfd zlq!KVo#Mk_Ndd9(c{@F_XmI^28c#Lj|Ek8b=Y&KAnyAP}E=+v9(jW^d8GshQWdMon zzpC;4scOvsMZsP^{)4~c)0JL7-5zDzn0E}e^XVi9-(wrmHRjJWXH`uWaT5pvea=N5hnZdPziFS_af{ zBu!BZ>b5#^YBgqkW!7Br8)0OwmR6qz5TWF2GXAaiC8}-VkrZLH4EY`VNPfOMF zHuFXA2i_5FO4g^4RPpy4#1OtdG2(JW0+BpW6-kY>k=9@}zP^kELbbltQ8vy}+|SnX zsHG-8w8U_Q&s=dIJcD81J&@?eJO=!JF0 zO~#ANh}1x`e~J7>$(!P)tN4AUg1G*R@~6tn?BMPDGr%&dKyVf9)(H0k6Yr;!Eng0W zd85JY)qTkZcgPK)GVU!+o+nt|tTAS5P%kO}FG|dwsqn(pTemB>LX{BaN&xwfoNb=a zT0e1kK}-QR{cVEIa2v!Kls5>vS8@8l{GOcjA%2UcjEl3A`gd1jIL|Pw_G%Q$10k=4 zH_=ce3`Ve}CVt>E&T1BQ)?$AZRx73g8&rWXKM}%dE}|Z-Gj02K-lWD_TtZAiL`Os& zA6sfCoVhmWZQlEx$58U6{w>ZN3vk{Ilm+AEj?m|yos+VwDfsw~7h=j0qO`E-bS|Q7bRj`hfy%JINfLtL`t@-yV#)Rn_h({4pTEu3{`JL78oC9~t zO!#hV#_B{G=&F-08^%SKQgpr5%qP#cda+tcirPab;DwA4$e5BVlm|iSPx!%|ay)L_ z3VKPi+e#@+W;JHzA9ZsSx)biZU>-3ub4v{G3dK4rxjM=zTIj+e?=hz)-z*}nq*aXB zRbZDVTGI3-3{Gf!MV3Y(NDKKvbTf}XLVTYcma^3bV6x+pxu@4YBR!V~*>K05RIwKH zil?!bHx_wpZ~K_5UFpU0I{gxH?3HvJ3T=f8lQ3oND4X74Iqqq=XYglmjdX1#InYB) z*R_6WtR;Weoqh3%Q#nD_NN~wSgg>#no`f-`{-v|JK&6q}Qj6}%Nhjl2*zj@?AC#G* z?tPQswmgShoaX8PCP%)3;Wu&x*br=B$Z}y&#U;SE_QFcopDWB~>iba`4`Z?AIAstC z#b#{~RRjF9WyGpO?e;Gn4dVU_Iio~dJEny}uR;la{s+PosJjrz%kT+ekr9=8{)9U+ z)j=x=@Gd44kRl#!(nMq8AAZ9u`0I6zD^=jh_=`R(?t^Q_%d?hM9a=koES!GL)uCTn ztEH!w&B&aqScCY6tgC1>w=twwJTsyvAD2d=+Tm^no1SNDdU`v=x=J=e9eXci_t zLI-Sw6hOvt84xScey7NAp!-L8O$S6Kd1+Lcg5tx~bVJa|Le2TSn8IE|kT_<1!T_cC zYmJsk`nCoCW97y0^_DKInXAacfr0~{=_~UXnHhQr)4jRE_3W)3y+)Z`-`(P>U_r~&|>CSK~izn4cLM7`-Teh0noV}8Wa=qx#`yfNe zjFxk}(KT>2@D`y<6oL2{4)#h^m<5wqz8mOE9%A>U36I-sxq$-0lH>|4n@I^PsKRPh z(^Xn+8^f0xYbigPhO+b1z?azs#&@9O@Nh|@!7&~cgr8SEh6Nx6fZ;ZTzZ?UhN6M_1 zfq$cqnmqTn@hbYiIWg#8lj5+IaSMVl)3|>tuxv z!$@*0)m{B2u*~2_SG4_YI9ux>`quU4qvEHll&#e0S36)Kx5CGeo|NTbl{=yc%?f%W zA90=<0C(ify+Uke?)M z4m`5>2|uU<^XKIhL}K7W{Ry01p|dg^`CZ`O5~VjmgprB5G-b$~nuZ+$j8^TMqKIjK ze1Z9g?v}WEdut;3YO97joi!hB%=@pU=t-qWO?@0SPNE%+-j#L#+Cq*S8QquofurX3 z3PAQ41}+>e5bDHJOST$TigxUDC?G~=CF@#bH^Dgw|BS5TSZRtEq9idy+qJGsqoTy| zOK!&4;kP28e3^sLab!XQLBU5b?hMYlTXMGuDn4Z8!gK^XLuex+Zn?YQX$h;{ceKr7 zNXh+0p``f)+kEN;j47+&@bRVM8xRCppHqsi-gh$|+?E`@sUoY{1RsndJod(smD@5A zo)%}Fa`ArX0(84^@o}b2vN)*-+vxZDggo_4Vu5 z{`bbIk3$rv5ZcjjO)=HHT7SWL>y+t+&8`RGStIUUP+BCP<)+ZPp%?NvL%%GMJVaL3 z@GY-6*Cl6BN(Bhe<`#r(v9zF$69poY^(@9*$p*_l2G@1BDGGI|`P#^4ttXW~+0wF^ zp*^u{=|T*j`0K@zx+wA?$X^tBsOm&oy^xhcE1R)s+!aDCk##w+TxRnjLHD3;L`&!W zUlhi~{tU@`pLUfCajL6k%@rD=9NP$4rGs2 z`UkR(r`vsb$d@M8-L%Z*m{r}Yhue*qJ`I;&sdF$Ts%X+o+C&j1aW~IgbrtKSoOha! z^x7txg!j=Ev*D?wfyNNm^0H}ZHgyeEL^GiUta;L@sr49^?^vtG^>!89@>(~GJlA{k ztSv`A_>%yLO5I*N-+@fCJf|agt)KAXwG0nXaUXJfO*5P?X3(sdn7i)YKlu->F62lG zD(^Txk;XSX0`GK@ztT`ycx0a;q_$RykMtimXmQ*<1;$eqEA43I6eP1kR+EHntdRYj zRTJ`pX$GOgBlFR2NFLQQjUB~Uf5!gJ>C?&DKRB+p$7c>f_Oi&LdV%ax{(6_yTGIq8!I_7@o7vcZN%zWjJGsHI%UTX+h7(-kc zp43Ez+j+)1K)`!5v2w~Ua>Kf&S4PfEz(?8-RsC*etMOEc03dn}~!1B9%( z#xppZ46ReDBC8|{B^vlXJ~DyrWatONWfhQjE%F2?&rqMCp}oY$c$#)0-xYiMeH0F)ASI(^;T8~>zlknu>E44N0Sdf@)M{TOoC^kR{qO5z7?RH) ze`;^mH-f)Cj2W$9JGEBILu;wi`?2jja)PT;PLo1dj(QWA175j({bccucH_$@YuLnD z{&I}BeUt-K#VMnLusxD`*IcL);;(h^`G3KncTXi?!{cXTrbilFdzc1h7 z`xbLCckrN-(W4p-lJQLwSBP~dDq?gPL%fx-njw&n;z;h z%=R%T-vyWEp8ofnD%YE;(n}7fjBeeC?^ET2)RDI_ageO(+N@ZEvtdq~8%{fZgE|+k zR^ib_mOo~n{uo7H7-M6$atc{}S#Cpq|1!3IJbxp2!Vw)dA3UDi=Vr`kPqXPw+QInH znpG~zk=7O+rNeV<`}nP{puLEHbXYy+Q8@Vzw6V(UBE8?)fv~kwswug?O|K;9zp8Ly zJ(7$H%wx>&V`zJ1U1nU%_IxwD^AKBcz8bNuhtfx8q7dzfosMt zYkQ4VdH>91jFD!H5zq}Zt~Rwt{A^Zk!V-%HTRV(OKq1f z+zsnb)@rR;EpWnVq@yXPOFyeaZ)CiZ@65ny#6p-EwwgRVV!vOYwpaT-*5L9Zr=4ih z>JvO3A&2SuvjYi_=`K=^YrE6~>2 z{a(CPh$m|mAHb!wv8lU$&@J(|oG|NTR+o@IZfh+bTx?ULXlOO*xBcL4UFum|0;9Zk z)d~zrAGN2iR?}xdl;w$s4ooB3Oxd|!J)=JDu9DybSW$(cD_MJbY|UvXZuouf9T5h< zEYgD)$X^<*ECgkG;JfZn_o-p+sIlHugS#xg`J}e3TG`qOAQsXOoIJhhyj!KX+(3GY zKkzi9k)rs4CIJ#-`wVo)H9G?o#{cKjSl&drLmv_;Q!IQ7!g_xojf(U6Qc|nPar*+R z=4ku-EzfbP#Iw6sUyMckOuZ(a`Rsff>f$Aj%8LsH&uI<)*5N6JrweRTyP|PX+eH0$ zl+|7C;ofggCQ2D*49yQ6$1G>h2=cKZ`B9jqeJ(@I5O3`HO zdTg{av~^O%A*WDeCv!dB-pqr2FsuR(>*2)1!fZ@YVR5W5mfjFN>unB}M^fmy$HoYt9vMDw??_*b_b;dflTx@ia4(wF_@u-%v_1Yk6)u5YwPy`+Rc(x4Qrr(Az zbw-k@?KZPq#@`%Cc%~l`AfO?zO`r{_!|o z|LLT|>D@xhB?nw+dmEYPT_W#`u9yXn7~oTy%9=2-ndH${l4kcWtg6Ap$02a{83;a$<*u)iqqpL9FO z&Lg7Bc7sVNeGy-%xn}odcH$Vf)s3^ZpY0~5JbLC2qaFMhPJ($Sch84zh1WFtf0MSpQ9ne-t#Ew@vv3pXx1@yCYy!wbRh2XtL8 zK5z)vb*hD4Z`M87Ku9?D-H>o3?$EgSVOryphXqFeDI@US4ivFh=A`uP1RO~g2`-;_UMOWmW( zJT~Dy&%4%tsL=SmLfwa+KS_1NOJJnDYv2~AV_^3~`T5TBrcER7!~D->%A@k#hr*@5 zC|uzQBaVJ&whTI4HXb#i9#tBv9G0@@m24mbjZ*{lQ;kA%Q0xW7+oIXqvEJK0M)1b} z7+D}moGV?DAhgr9Ip6yRM(V4)(u)3jBnqW=Y*1SIK^ju%^WCR_V&MZ8+h-g|Z&J47 z#OzH4;a?P<0Lr7J3Iok7Mp;6vKgg2oZ0}l(&#;OGt06^T+wSORej;izZ_(?|sK8R2 zmyGx{*@(;d;p-tb${Bjl&kBf~Qw^2+%yNwHLDyNQ|GLjgjK||KVb`9&4g}sIqvql7 zKyexZ^mA`jE6&ZJNjA(Wc+dCx)94*(3G%xUZjKss^LbjL{Mbg9Jh9im$_g7IBxK8~ zg}F?RaX5u`zAVSL3GFn=o2*8tuQ@zh4hj0nN!Zmy*?DEm_pe;H+~`&e3M&Uq81%XR zZ<(G!));<02Pxk_+=!1HxfOzE5(3z(9orYA^=n^x<0jzGzn!YR4^N3MvMfNsE9J1V zYW&D{`V%W?iH@{jS<@E~`UBstq&=|1cWJG-e87`xWuPypmio4kSaQ&c42O`VN;sVO%wtSc+u>WG>iFU}}D1)wnya;=0;) zK(>tULPy!(pzj*?Yj(Xhc_4oye|&E8vn;;?9w==SI#FS-oJ{$3JO9m);zmNFWb@Yu zDyN9WEUSq{;)VoMU}bdkWfVC8o^iPpW*ySE2q>+t@5`BgyR@>sK$k=OX)3O0(GIof zmbD=M5~IkEFejK>2lvJ#G>kHd1^&F@nEjQ2xiZNbU;8UXZ5ZN&6ovfqMetsBoZMiR zYy51Vqqy3;^UqQBTf$CVvF0|TV^`^$eReb!jEOs*CSiU*N)O0ub}Qq@#R2a%Tb7qb z=qa7vu$j%R@3ySm;@+0X0`cpI(QO|I2bB?~icKTWQZ70U8b9+nTB%AnGHa&O-RKH~ ze=x`3$tE@~uIhRl7ddu&l;CmQc9IgtiW=Um6*f|s4Ysy%y)fz#33(N9c$LthuThvf z#FsZUKYGhXpFfJRXarvnS00_&Ta=4)>xZ7rTSm=CCCChZkcLRMr+PRqzt2Yl6?(Lq zuuc3wO#Ovll->6Q45Q?LbPqYi08)Z<$}n_?v~+hjA~19g-JQ~ibayi#DTuU4NJy9O z+~42(e4ghYxayp1@4eREYaKFwULjv1?3j*tsSc+$ErT9pm1>y1rpoE1!qrxuvCwsd zFe`4amwchK;z`pw@#(id&Olguu~_#C_x&^Yb%1;miycCz zxm~zXVOZ>L;dAORU!Wy7=Ul++`20mWVnG>xVJc}$YNdE!A4XmCoiW1G65&(JQ!cfG z*HgD(gyWer;Sj^+!rJq;N_IqmUDSY0*Z6%(+*h}{GJC2LsV&D&&)Ud8)x{~yq0f7v z#{>O$p#xM$xX9euG_{^=^{d(AMF+E8>~jcq6*hydvgkqtGmsWcYzvBH|O@O~nAZ;cbU@?KY)!-JUVW zwH=UtX`RZInoPKZMsHT+53ho;$|d(g!_oJK&Lw>R=Uf-kPwNwLas&=}^eAU9zA<^K zIP5s)BlJ+{G}wm>tRovIY;zo4JwAEoCw!H@j_cV{TYTd-FYn2h0N-r5ax19dG0&+T zj)dKBKKXiDnfhLp>*t<`^0iqWxm1T@-oNELGk&4-EUiK-BCdFlElSll>d)J7b0)+6 z=*~aUMOIh8O}E+cwoaIO!|YIMQ{T7f13)^l(xcvI^Q zi)Y0f4>HMF7p%v`O6rPw`KFQX6TVxG>buncc_T8soMz8#iCt0DK{pvy9QoS57G zF3kU3Lk#P{0I#Xs48d>L5hKtdYxlL8v+Rhl^Rv!*YX`lvORWWU=y0%m?s*~~X_3l# zvi)WHLgdbC+cB|7gb5=5ga*>Fz|=q_gM>dw(Bv4GURcX{gzNKW@w;%1>^>4Fui@z5 z@YRdDB9Ss_B>2z|;Y~Zy@8jO@ZD#s7iHmd$k!eE4odgNBEA*h1X@koB1rCjl#%FaT z!jH;z2Xm#(Q($rrbICX=v?8ctQlmDUM^5>OhbA59f&5XyJ zaj^7t_b;IacA*UZLt$*=?0$d7Azl$W4k8yY_Nq;91zx-tDn8YBGv>nj?tkgB>EjUm zGt8zLzdea~rJAY6%70=mNKJ4#EG|z%uYiARBh?$O(Tn&}$3G+mZ zTh{-fSd}@dBt0#jWPl#38MBQ>TE5QX3}Y((DRO_cP5H{J*2v|ZA8L2`Ka^hdb5W~- zSp0Y&ql{XzH$2@cB&sxKQimk_0uc`X(Ifv`c7quizqK;Qu19ukm4$D^y#{gTs>_FZ zEN_rRz@YQRs`bS~LrYdiqahNt{CqtsjE8I-RQFZ2acR%Be%*p1_&#~Jh!l+p*}s-% zH)PD+K1^zVGa^CiHBl^p>2**|mTG>--Dyy5W_s(F*Ye*TkpzUD1IyO`=#r2BF*2dg zr$0o8$ztLyy^7?k&p8AV6AxPSvmY9>muZo-Oz->n3l1MklDHkC4K7`Q&^!mA@)Aeq zq}{I__L&WK%Tv+@vj5xEg3L{1^|+DCGe?Fh(@w4YQR{Qrv$ioJt+M}6?seBz+y9~L z(Qr5850cOMu6_7y+_zaD%$s@Q`FN-SiB=_`wd2;yte+a0q|Mx8hot2PftS>&TLd}17`QJi1BH=@AM!}~V zVf=x*80FNDYy-)-o_c&I?*Bbr*v5ZXf9r)Th7x>q*wZ<(s(*lPWBw)%>CE~gFNXxP zpW1OTbZN-&4fyxuk2d}^HS^_EC>|_bSvGq~zPlzF5khooHe(24=hneiWpL#?7YaR3 z9MzRQ4QAlqOJgBTABGbZE6-DR^tP96&!+7IPCX8mJZ;$k9jW4v)D;-zzeTcTLnLPA zeMi`}r2RD1U;HM@v;LZX{{6db1)lS}ytS!qK`#s3#rXBc0wlSmI|;t{{_$LQ;ky0* zsJ<0SPWV=h7|4-*Bk>mKmbl{t~gs+OkD#{=NsDMqHMRvAyTsw&50k;hQTf`uzBYDivu9d zUr%k$Zkh#hm^>G?JDQAv{@U+DW!*SM{LRz6L}<{T5iY#f zC#LZKjv$YVPu7}udjB2HX=^8PAcne0$(VK~ykKR={bIRBT0kkm@()hD0O5`3bWq;DVX?`mo9dZI7d>ykrHgxT*|EzZs3 zf9tkqakl)aL1v@GHuRXC5FCd<~@i1m6F_ZZ19XBQzIe?2C462v};d zY{^L;rrob`-V2;TGOp~qNyw&qYYbCZm(~fM)u|v}A(98?RlzYq%~JsjT47?_D$iVA zXW|yY*3VqDI;^n_!R0tlzh?p?^l`t-Rfle=Vha}yF1@Y~kKEF>0B-b8+jdysS^$|m ze^$9Aw5Za~x$f{kq&g?rw#AMLIy7I}xj7xvQtks!**pyKX>jdvRJPn56K|scXIKUX zJ07_wX~fd)8izjcc^x|~&1!S>AI`lw>8eHU(LG+T1Juxmji`er&CF`U8}=sfTx_ML zyXepgv)}JIy;?kVb|B!a+p8Mge0{L{<9RFK)6M_oqBlL##X+R+6mCeH{+^{ZBI){% zO6Wf(F}uy;_mCLi$7da$mBlDQ9iAUJls_g3?@A^7p4(So$UG@6kbM~Vy zoq-h`WH?G9s|SIQk86$OA(1=L&ht-N)5&7QV>Z(qpXBB>!D-jhIPdt@J-K*fWgf{+IGF1{F;xmjzfm&p+0$_!1;X4J5( zp;*v{F}-(X^3NN7=^2!^0SE&n5w_8qZ7}>sG(;Vu8iO`vnA)!0t90?cLmXX0Vdh;YtJ|j%3NJqIyeJ$i&Xr-J+iFEsCUE6;s;j}ik0`V-t&N6jEwy-a5T_!L1lvuT14c>YB90lI!k^0?PC7d~Oz^QURG;{CBJQk+GuA?PDW(*%*5nwS_psEjtHT=M8 z8P&T2T8=~Hqxs`~t;Vym{RhSwJfzjRUbTtfJb_$(z`9iCIb=LFO#LCx8VGfMFp(s{ zy@e`2kg}M4{dsp%_vhW*ifbU$1O`WNVkP&zs)5!VqA#b%vmvKl&X7U6DF^H$%+KXGAZIh5FR6@ z1oK?8N{)mN%f=+pMaEq$4eQZH%tUWh#^E)9FO|{2S@adZCgE8uEW#>8sR3xFN9gV! zij>KKNqwN)0Uf~WpdG$>1UAlf}d>??%a{Kr1X~^{P zatP6r*XEqt7eU`%g$r^0=T?yA(LM?7yNoW$csPl_JF1~E%o8GBaP)i`V_!Zw6dU&nUKMQT zp{d)2)hDx@hp_1uf%NXtSQNKjDdELwKUb8DUJTi*XWMT zk>Y82+Z7V&3c2;zm2?BIm(=4aTi4dN$Dd7+{*C-8(ODaEAe zjb8Mmltj@3`8mjmV-IVkEzw-DwrMrEGVvv?uqLtvRr>K~G00!h5o^jMjT5QTFuCqz z6PFh#>Nb?i9#50E{R*Wa5lL#uTf?_D*bi^9fGR*;No(+1Rpnu6jDS$?Mge6?F5S<} z<-!o*@HZZKa~yEI*%v}GR?6ud*DWBZMfN|9p{z&gv&b4{W2&5JmjX1WPJm&ZMOA?= zGD>Ur$x)z_W+#aHF4#Owu;loue&t%VNFKWM3amcA_((L1=@WX2v#wHpUts>4?d6I{ zu*IAxYl)AP+VD1u3Fesu2JD7&Q-S0J1ST?M0@{gU3w4X_9g~g3D>xtPhiGf(KX@@I zCGY<-U2jTi)CJ;8)BiwSy0nNrB4WlCpBEr`!yGU%-XNy|V&h|-$VM3QN0i^Q8^Mzq zAs-?p1H@wOOJo8XbwhO-$lw%LB#x z|4^`Eq3m*U;b@WlOIV~a_A!Bk&{BQ2e#@K9abfm#eTzRq897S3&njOLsTl{F-oL(V zQvK*HKBsVR`GL_*@a=x=@4AU)M_yoiG%-@t@sj=Glz9yPm zwF;?X6|_^3XmEhHh`CGo-j}i7@A);2Tzv~+0=1ob79PkUGM{cU_c+3hC|gC z08@RV-ZCBp*U93evU*oGMS9o&Pz;q*?zYZTdCTVL=e;}%kI@#&$&P?Y#cr9IpsHRa z#uky3tX&*#(aBP+_l7gL*RYl#63)GjRS8m>&lU$V|l0s+8~wXFIbc2 z9IoA71p0&~&^|ok1(BYqMRDhWK>=ePai7WO%sEuUH`Yu4L1h zXJgycf2frIHOTT{(aDFn(F2g35ani#YH5X;?kbo({B}&+UK9uiMdl{H?12!>J7dO6 zmxyapoajmW%eDxg^BWZVsZRQN(7CbL;e~L2elIqX(qXOMZFvys544Q2nk5kT6`tM0 zm;O5CJT0Ni;xzyps{$7`H+CmyC|dX=2gu9X;D;y-21w2=g%G4~ufWb(cO(0>;nK|L zZXW~XnUuYl8VzDan?x{PPr(s$`=_l~{T(d2jB`=3nun(cQW5et=1Su7b->lLG3*uz z4UolW(I9#jZX3Ce3dc)1XDU?vv4Z$VW{q3-zVXEVzF0w+%%yalxj+AArpX1}5nH!X zgc2E8?XbwteAVoeRE0!rJx<-q`R7&Ck_LMTqP$=HGN71ZT-+FY>C=3zyQpppDZM=c=nZ&XpM1)pIiZ}NA zaBwEi&v$gi>9=1c-6XzQxPWZS2jq{caJ@u>WTB5IxcpEBFh^H?<@=_4Y+f4}#^}50 z{89b}&&}fye0`d5bBu_Y-sFN1sq7I&m!#S>1<7|glpM)0^<7Onf0Bl5iiK1Q0x;~F zvSX&o^qq(lNwdj8*?}^FC4fKaDbjDelF$b(8N(93Vs2bsBT%FX1!!pgIX;jNb3?Vw z1V*9`DF9rp;ulPHtNB!4UFo~9n2N4 zvz8u)Qr+OiViYvuiF?pt$lem1W#vf+rj9Mk&) zjuiJ7?4QDR?2bblZ#@(Z>8P&D#trFsh&*!zTD^CY{B0{THFDGVYl(83(l7wm2-&}e zU5ScG`>MtVC$-|LB!%<{D;VfCQOTfXaq7=LG3a$hX=z@K9t7>#d%ZF@c4$q26_**U zjSWcAf)i8p63#b!SHVUk|4?kq=5GCQnUZqH&p1s7*5N7qW|V@1+pDj1KJ#gSu=8>E z8>`Ov(|4w=M%l?<*4)S9!Md=&6*NU1)+PlM{kh62s1(HsO^`AwHG7EtunY;664E{_(jSkq z*?bBPHO>AdaWiM|V|h&Fo4wV(*7|b^P-jCuYachId_n7gH5Olqz$Q2x+cz{+yWOB) z9^91HDrp|IJtQ2>-GF^V*ukk&+Ei%N?+X~Mtd&XFcw8s06Wb6-eRy47DpL?>6 zPr_w$MS6exMi+d>nRbx*NqK`<8M4t3O6=+PXz`v_yX7FMg-!j_^Q(1lJ7*!T_#mvf z_@rk5`LPdJ_U3cd4Ux|H?37Z~g1iYYk&jCF04c+cB^j?Mp;WAY-HPc z@0;7eqpBrud6~j?^VFU1IMiH+j|%6CnS-#YIm?1nQ;WOp?pwnQ3K1P`9Eg?<=#ZKuG1NF#^_4t-`8b~!SSm1A4WIPzr5BmHBKLJxRHwYRa-*+I@G#2m^uyaeDMg?|JeW+-Rvlg{>vN2^ z*uu)F^igG?v8*jcA7Ut@D_P}XhLEk#t?pi-3#BD(3+)LqSr|p7mKvcxoR_a3c?B}p zW5|VLjM~VjmPjURf?`NU1Pev^pg@WKM)snYEoJ5GPODwl29JpHb{UZ7!+tY9mz!R_bmKk(X09{=Q2I9LtIpm?YeS&wcR-y%l7-G8TsMC?c`)LNqEE zUpjwmJj%Xkm0q37swvaSO^BONHBEZIa`GphTk0y}wVy>rX22LknnmiMr~gCs=h#nx z9H*mTO2(itlK|R`mwnxc((fJPLzS_C-_Y26792;Bx&80}k)!VvTKx((;LvA}6{&?QgRPxl1g8id`%%F`6oRGp$2@ZU1Jb=t2mubYW!77Lim4hUE!} z@7Dq0!f#8FA98cWCXo!U1kW3BrDDt8Xr5NF8y{UT3)vjGcZBU%upJJX1q~!mC>pDG zj2)273zSVUi{dHCsU5GI29#t(H4PBO12x#wNtZa%^W}4p62}CUQC)9-IeV4XyD4>k zvAN@6pL9;~q)ZRl9!x+>#Iu`zvPoCcFb)OnASsre(7`swE`MKCOj1BTQq2&>FIyaR z{)b7Ha|EwMQ@aXnFQsy3>q(wXk>^c8Te5Ivf!o9fdX)zz1#hcPz4b#&{pE(=akoM| z4bwuGsbg@%TMUU&8KcU5+FWrkon%rNXRUEiczMK$tOvd*^s5s{A3al=fjRjrEzOE^ zIXX2l(*Sw!h>S?yj*y8HD<*`hP7|*^3(*24q}&vnREtE&13ypemBAYc zA&)=J{hPRKA;t^*%${$ELPtVn%jzRA#uO^!i!#n0mlTpbSvnaF)+~NcRj)`X$;d)? zZ7He0!;tbMXjF1Z#>&8?Yc>T@(?zBnOqR zF1VH(^3;AgbM9`tFtYY;&`MM(3DJf{etuuhcq>-RSK-ZXefZYOr_~6*JrKPrO1Me=6r?NTUa&?-=6p-3cGeI2B3M zFd9LfOqrV!Gk_kz+bLLPgi&H4ICUQO2g5uX#$#OuoFL?oAu?Uk59wHu|K0S+SADu@s7xJi7(T)7ND+QzH&Hc)WNHqGH+*5K*> z-1IPhw-+V)2xn9CYpCJ>Ks;U_0M5gv45y~~{WXx7MDwOU~LMkU}&FlboeM_{xfI+ms}MmgKxXEnB9 zRJ_i}khkJU(M8#?YRUTb$n(gui)r2@vLn=b;Y$ntvqO3%A$3|{0;>LGR5ro1GnZL< z32~KI)@0lCk%`byB1)qPJtzaZ`pTjwGYPhbNy}S&$Lb4I6kulJvz??!oqDxj*hw2! zrT}gup)!i)de@`~-IR_O5*+gsC0mx{`D}gHidJ$x#8x{ahRVF)kdz*+o}ppi#%neJ zQEZIqNK*eUXJK^BmNyio;?85Om!#>}L!fETfZ4YiI$#;ehe7=(oHi`*jWY3zW4)EY zOSVHIj!1w_n1<9DtJ7wB>3PVnFik$4Qbzad*E;X+NZX0=pveM-j`O4Dz)cq_*%E{r z8+m0~@<=KBLX0;%xJfI_} zI3KGRt1fLW1;RzX4MD9dBKV1mawZP*)ei0ejfYwfl4Ap9H@?D{l|{PiL-#b2jhUsT zVMMNNq6^OKu$3R!Uy~uF3Z(*9mJNKkEdj)1ems?5fzsYq!o<|nuYN(yZOs|k@Y%#i zGwbHaKf?O28U)Mf3J-ZT_uUSne50+DFRdecqI<)}TkpN7J!gv85$%` zGl5BLDo+v72k`Zm?2NK>MqsmJQ&7Ws_@V7U_@#W7k z&m}ke16HMQqvTaTj~1_Hj^de7wDeV-doWCF#?ULq;f}?I;LiV%6azLTIH8I0@Y%mr zT@ny+d1reo^*Y*d;xP8u*+}5W!bE41pp4i*l<^CNE`o1%s|hkccGuW5u0J>)MA*; zYVa9XPq87-7_{r;AYiYCFVS?!)P1rCd*;mF9Bbj=_u$yMZ0IGGMZ;y3f-}ZYVG*Wz zY3Yy8U=qp;if}AkV#@o^%7j(<7;SnHlWH3I-QhPlN)oa-Y-wNLH&a*bxu;D52P+NP z2KkvVW4>_fQ|(5SON_h^^Z6ZTE>{Hl^YDjX2I?SW!j$x0ATLUeCQ_Q=PX%Dg5Kore z%y&DrEOwE18B6w0*_#Iv&UT05D}tAbcO?dOP||+W+;^2W%v|7Q4{TrD3RcZVTltZ> zbdKySs!CaWVIbU|W7kgtheFzumwM!u0P3omwWXjpf8I~ZPzQ)FHg79k8s{f}x#MQ5 z>*>rG$SdfVWxFxY`excy(Ap?!tNRdIl3ifa(6(VlA`XQmL(F7x;FD5}pNZ|{GeQ)i zEqxZ8YC1VJc@j&ynwR`y8}n3-RrLM9QTaEC7Rvs(Xj=z(AmBV@#n=pG!i768OPe(C znkvti79&rhT;HY8K~76o>O?@C5hq21l zClrv|LPdMM6fA#0L#g}TqBd;_V@FzqLKfd$W*&^CoG8z*=D6oZ1*M=Vm1oRliv0Q zkzi}Bixy(uoBct>(LS3nPw*DuC6px14-)!!Wx=OX)R7LfVi*?I3&kwsP4e{fZ1D^qTUaY=x)YphGg{ zj&7Ar%`{)PWYe;gt=;^HV$w#Er>(vk9`dynVX2+@u zj%0O7Ej0{cJ_M%13%3nEJwP7mC>XfAg1i7Wo`5KslWy!+T@ zD-~7ou30sS7M=J#!tSMEH|f;W8D__V(kOV*qY6d>aY4I}H6SLY5l&nWDSAzrGUmbZ zCbCA+P@j{3T@@;lOj}mEPgj|)5|_-BF33b)BiK_5z+rnD5w?>bx8nPGFF2yZ+8!<7 zJY~_cy~`8PcSWyg>zGMh8O^j;@{Kgdj_6&Pmxb2XE4+dxO4?YGZvrK<=HoaSpQL9# zgptW%yxDOZgisNOtidhE&Ku#YpQbAnW*EaXna2EZGF{!kAq2xj3bO2$t2HT5Z22!7 zYEpA7yfAHCwrE~gE5mHEC!PvcdZ`$%#bfn7=xI^ThZjs+KL%_FU09N}PfmAPoBkAA z{CdU(oSrGy`hbTPWz5wW@$uD;L(b3=p6Fh*-wu#F*A95EuRH8gC;%6x15OyyUMP6r zLuIwwOBK09U&$3=jRLSj=GYtH+nD)8d~>BZmP`z#s$+tH6$q;LSVU~h;TUg1KOIW6 zbzsfX9mb;wy0RF5)dV^G>DQQmnC^SZhu%;FhruL;>@SXOrykI&)kbsM5$Taz= z$r_7bAUMOOVm?}Cc#2pY&mo85770HpkruD&Bl(+oM&VeEGc{>QENiiMh(E(B(KZnT zI9mR($D-znK9Au@QXc-@-BiWLdxg60$FWMV~=~_2_^iy%oP?CQp0} zXt4?gV?s$iRJ^k31m|>##W?hU#3oDQ)`ik@%DAJ=B9k=JIE?n8y1l&O`RC` zbkc3#+Ddr9Oo;KZdC^GnUb(4cjJl3__dp1)D~jbTn=T>7ssnOxRag3{)1=fl&(w-rP_w%N$6P3&v;$JuHy zesWWyh#lJW(bR=YHZV)%=e)+OVA$d|B}V`ydm4U&?+sM|sXKCar-bsaXcR!5h0|yS zTC?p97;A45sp?E+(l|%s$%)U*7&3O8$UPqBQ2GWaF{GKM|->gk? zz^r&Qd^s^c?Va!l=(4Zm#gjSax3-Q0<&>tC+{P0~vD!@4*>8V1n`h=t7`IdCNJ-8x z=g&p(C@9K>7D$u|2I#RCL@4`C84{MGy?tLQS=)NjJjplrNQBzKC9iP7BEDq!$(SG& zw47tUCdg1d^Rdf__p(BH4>*aJeDQ6TBknBz%>4Xa^*3WlfL2$8m3>W?nx}QlfQSq5 zFaEo3safL(mXDTQeB8TT=T4s|r-e^1 z1y73Z`|j@&`=l_BH)Rx= zAM{-`5r?-Dxr|qv3ANJC-M=QmVE+CfL|o>8GRo-BU#49^?5lZ<>D{BARK>~abcI;q zclb$zF}-;8x_&|aAIdiN7oS@UE6J+5e<(o-mY)&vg*2}E*kT_Tf3Rr6st&~CUfFGr zJNaKclT|%ef+$``?Q|67T73^jfrLHatQ!l%_lHs*J>`~Oc-tPP;_n+`23-DR z2at^YT=TKX{b_NGi7NYeX;$w8o$VGVmC|N!6U%r;U3sL?gVA?<6X>D?83*`z58`I` zgFn2KJHYdqnGpBpvr(XK*f{x|Bl!~dO*5{@Y{Y#<-bptC;m2V&3jNqQjnQ?%6C7oN zDtVBc6UaI%O+x}402>Ye_`_Rm)-*oyjE7kY!)`X$fuxml_rLkZt9&RqE=6ez12_J?RI|6`QOk_ZAaL3+EbNK-PS3KP-%>+4#iH@ zit_!5zy4zVV7l0goOJ>dB7@cc9gg|}Iq&q}Ngyu>3H}>)GNX5chZHq#ot?k=->B1n z7KQ{`IQWi{sM^^BbbE;w27`j(5k_KicY5Zm5k|@rykzq9I7#G!VeUp8S~A3xrBauC?#$jI?m>x|$+p29tt%fx z|DimZO2ACeFJj1}B%6abza+alq=cNLJB&sxNU^(sH7J&Q`8v#W~hw^7+Lc^+2K&nt?KYTY3Ru}IJ0T6=fhLol6 zjaa-wp-+Er^~%5?EY2h-jERgQO1ZJps4T*3pF~( z9vh#M8Vm{6k$#N?*{_L}(t{!ol)n67af8bb!Rj)5C?9!$0=A9(@!xd4>BhN`+*A;S zUPd21A;q1D)+e6qVef$l3loz&mL&)n-y+v!ZhF98sGRyKR^nDDRpWvI?LN)$)~x(L*SjjWlyCxz2`ow#_7EhbGgt z?xh$^2h|}KgH~*w)Y+5Fv<;C_3{hd`13)r@A%3?>EXxu^md6Yk6`@RmLXkPHCPCOo zs^I~vNm?qlaV(8u=#TijEZ1S!1$^alY-~8;jfxnkW3FdxY$$4t;5>+d1x7S52pyo? z+D`p-t|9Num&Qv|p!LV^?RseOOI7)EfV0}Vs_#n=&A<`}xf0=cQAF}l3b;UN*a}QG z0qv3{vUqcBwJ~Q9k+#7)UehJ!DyBhAVp%M?J59#3Qv%PmPE}R|66)o!sceCn_`r_Z zo-%AR6!~1j!t@9@HP6C-~)4HV79>DH)@piB9@}IbZq=cv)~ud{4(L(wLrmE|+{lG;Kc!$#5gh0AkH5|yp5j7aRh`F0Io*nN|X z;EoW`!|uUZq$4rGTFH-b9X(L?#xDvIjFpxC#uSL#MMq@BxG9AtMI9%z5-U^5(>$Io z{wlM8C>JDf@JvWI#aXTV?uyO`Z6m@>uRVX$wCe;0qIBQXnJ(5a`)Qa}SN8 znwL@rQJ0>wqt5Anz4O?4-0ZrU!_Z2t* zJ%U5#HmaCD^V$_UvN=Mq4i(`0P+%T}jBVEvMFH`2sA}jJBkN3pXV3)XO4D4@nRAB) zqiys0Q}zDN?dgx(HK620I3KH|wwnuyQnATKDICnLbk9~MmkEhS1xcO-Gq~Uc0g85G zkYgKkt{)AEdGA;nS$?}Tw$>=D0efW2u+9P%=ume9(J~_78byu{c$?|N%ZRye9c+lT z4)Pk%UiId$zD3W8Krk2|rQjcmc`mXC9<>hdB=#GS^vzo1KYq@_X<-VCP3mR6OC95L zg_YhiL5VLN4Vi(Vps#nC=2p~HpzTD*%y%%5tD~~(2-8YAT*N&R6P4?Hc`JpQjD*A0 z?gTIh=W&YDFBK6L;8C8>HOEnVdod&l;~P_?Z(|+LagAV!a2Jm<5b&8hdgGnyV#6{p zrSbR9aKM0;_;m>!r;CqGFMD7}f*6k`%G`=zI9;zHT`zMp+e$vPVpXfLo?OX+Hp5Fp3)E_SQ{00CgZv7m~AXiEZ8Y>^QM&B|g6?-uHDW1}PfHj?YE z6JkM9cP&}^S$OE1`Ju38J_z6y0^%R4r$*i$NDxIeaB)yHR>}C5T7>-p;APRU37obwl#oY^A}(X2fk;P6vtBY zJ|5I85QMc~VD^xDoOIvFcb>SHs90M>Z~ZoScQ%GQHQ4rf^xG$uT@5QM;A43w-4tUo zP>jzAY@n?z#Wi{y1j8qk;0feujZ?joK7?Gbd-@ke9!4H;okcr-*oB1|2GS4_1V;?Pq!_;(OPbT<#3F5Fjp04X-2E@RNe_Z&Ue`MY zrq)Xy_o;Y~UJliJC1q^eVJ?*Y}4)|ApJYiEZeUTCDh2Q z-B?kYb4TepM{l?FNOTM~yTT6%#7;cUwS7{v2A@P;D-B-|ky9l5N|Ec?&J|~qOtW2< zwUrGLhbtql!n<@DaeI@dV-J?p5g8?iT8;j=-4bi$<>R}G-cpQln#}G@S?;bN+hWQ< zi9~aABtxEAjx5S%8Y;(SYBcB0jM%XLp}Y#Uc+{wLiTZ32R?^g^mCR!%#$!gajUz*d z?GeKnZ-yHcz2}yo10(_p4Tt(}Dm(7_lM%_Gv(r)y^TlXK%+voa;mF~@4^v~t`pt8z z-1?dHQVg@NAT?sko`{Y?A4W?xH$gN(aW>>SI<6R*t(j)QvSOSSQ6FZU<%rSfkJgDl z{!!5f_5e91{XLru2rOXSWmYyPC=W?62AyR(5Gb9`d5qYzsn5|#J>Vc7u!tJVsBTR{ zgV7%2PHpYyo?_SN%F(@N4-})!`K$>I4eP9#caMe<2^e6< z@}vnO?6_BE!~9i|02GVP|q3M1Yepkyc#`zpp$xvJ%(rW z-QxG%0W=7bX&He8BcN?f=}&f#kkNa7obcGjV;DaO2VpO>C)%vxdEA z;jGtGapfUJ_EJ!Q5CiyUxBiY=^Iv)L4lOhzfn26HtX8+QGPsi-WDws{?I z#kV9?tO&k_u$?gju%J*dfvr3Y)EE0^Bsx4_lAS==+_yKPFiR;sVlu`^Kp<%J<s~Jlac*WBiMAIb&Ry;z8^ZIo#MP`K| z-hSgG7byK|ZkU-V)vf3I<(zgZfUsw;KK5L}ecAXMMO`FO# zgbV!6ap%KD67Ljfe=?E#IjrBo{D@CJ{zIuUCccsIIGc?;{NZ-5=ePF|+;B%nckD;L z^OSUR-~RAqB>r6cmvU6@>6~``vg{uUdLsG7mt798SeCHor%lhqsGYfUR(}bcGYR_O zv;5O%a&lL{)tmIEX;GWuSAW^R;CAY)oPFgq-oq!HYWL#&=7UCDgp*eFM#nS0;g68j z-RY#$z|Zs>-QBMjt9VMgts?m)kbv->C|94(w*|7hYT{BJL<$F!-hZr_;Ze&U!!I2J z?_J(beVLw&veCf!A#hsaEL}S|=Ql1e6(zP-)=n&-rn18{AROTjmiGJq0nI=%zn-qW z1F()gJGe)bbsm{*sb(C&F!T>XI4vZG-$v!L&oMSeC7juf-J8XkG1Qw3^yAZV?g=s^ zgDh6@W-vhkwsCL2qYEqYo-Sk^{;^npUNHXuy;oF*fjh0)p(TgR^ zmOAENXgtHRmpfxTbvj(e><@M&&B@J+IPUxw+3E=P8*dQ@9!qXA8s=K@{Fm!KY&@Sg zF9g z%G%pzNs-SNMY$5W?l?rOk_2ssEZ$^LJTUVx*X}(MRci`PZSQ*krLn!T=m8 zTbBA9%##s|!H>*nMB(0b{%pX%r=l$b7{H?#HwHcuC2jFzD& z>^Alby-wuTsYJvQ&$kcd_a5Tt9&VC28O$<3SMhV2e34Oe<{RYsxp^a_f1m0VqdD;e z@Pi-4aP9j40Azd%9fkUa@3H#5o$UVS*!!Hhhlu_E0B~04QTP*%gW`9oDBt38JX-nU z^Nh+Kc%3`HCHbu}er7CpMW56C6IM$F3Hu!KOUlcgW7HH`IWm=zyyyBJb^wY-+yG2t0u;QWlY7$pJUOtc4S?N#j!sy z`K%zFT6HD@b`KLv;KxtvA>Y zlJfk2upVC$_2vcM+I%zS8CUv%>b+t6w%d>=M*)%PB-gl;-`>#XUT*ANT>k(}v>%9p z^aNj{EOJh7c~24SL>!(WV&-}d`~G7Oc6^93;e|>dAYNI%UeevH3)}TM>wchIyY+h~ z-}wMsTleT8c{Cr%1K-7Q?EFlFhwOh)2rfS*?694-QL~3|&TOu(O9kJfc*}hhgf8p^*%H2mbw`@bNCW`#;r>UwHO^c6}eX9|#f0 zcEo+IPBY-Jd%c!u*KLUyS5n`#mMvrTxt7^(OC{8|y@DIHYQ@ho%R-~)TSM{(GBf8Vv?^pGX9!C6m(TfW>+k+sb@#LIv^XDrna#zvTL@1F zviF=z%hA#`jv&~1mO$(a#q}-Avx{4Vs8U01(S9qRt5!FHVdT5VTuJgR9Uuw5_IW<9 z%=~|{XSd*ib-z$`@6?VZ*W79Tq&N;_crJbxyfBCQ63feFyMKrX(?Gh4`G-cscwtE5 zTDb!i@`urpnn+-I*)-Dk0z!f9B;0_|y8i$T+S>jn0mojPBHNp_{{Y!nx(hwy{{5rO zF9bjFTpitb))TFebE}_~p3naMq5lA835oe&W`v&HfNLdcxjdwkjPvT|7BI_LmaX#Y%Kd% zF=p77+ik*mE?-Vz$p-;Fs16{!G1@lIF-%yOZKvV?0OTiz=~&l80j~+_7T^L1x%yy6 z665~>!NF@!F>&AL(An0KH1b`%Stw!)V1r->xeV^>xo;6=)Hka*g5hw7SO#R0d$Hzn<@-H36uWF(_4l?O z-5n1d;MBF8&_j~SIwudy!bxNgTi%< zhj^COU4tPPl#_R6-Dk;QsN0NcTcJam!lxTlfy{{U>e`hT}4 zZ;$pHN3@S)4s^Wd+TZ<~Fa5|I>U8PxZ@JX?KY|X+AJPj^d& z$~c~4Ghwd!bqt3V?{nOa?X3Rt#^H>dpD(^he`!fD4yrFzgv*{j0xp;io z&XJ!w$$7bXkiD!gHy+34$IIp)zrD@J+A=;xi-BNt__yqQMZOk2_%5RTx07w8uNJbe z5=)<;-x0Yk+lAhFM;X)^ZZ_|&;_)PVSGKJ>Ult|^U-|vSJX{ZwTgX_NnQ#82Zlccy zGv>|q-=Tgc#3>2${o#Mz%fSBtzj+RYm!P%Q9|fOMV%~1p%67x|h2rzG?6$~qTz`4% z5W2U03ocFg{{ZdLEGBzoNP})YJAjbMHVlwJc#s}F2-(?enbaHTC4t6#6C8co_TK50 z?UfI9Tj?E}mxMY$Z^$;204oq8T%$>s!cCZ2=E%=)(B`!3#@p1T`8 zTWdPEC@!tpVT*Y5OQ+Fen<5oF!LOvO+aTL55r#0HufZ8|PWTLKiEiO(>mxm-;21uC zlppfP{yQ%%ndToM`H<`FV=Oz8%|cpKNx9$C0CN3D=E3E*`HY7N{{XcWanOP6o_!Ke zN5rRt;Fsnz#h$ZZ<$S{Sv$uEVhaB#0B{w8Kp}fM|vaM{*%Q_aU5@fow9AAP-_OHhs z`EYeUQqjuBJeJ*vPTMBu(O~kfMC2}KLuUrU9NKu?2e+iYM{<#T!nvGC(XrdY&_(- zkm~Ii$A;H-*ajH~uW%$8iL&NlDJM;jSj)|vMhSDPPG%IIuDiA3*R{Nn$XcHipE)Yl zZl5x{6R%10XZDakrHt+Pwb}FsnYDcmIgu{)X34T~=x>-|+4@Q3iG`Ng3w9dhZ@Eft zufeW-4>EJaFP}`_pyp7*TJd4ZUxHZb! z6Unk1A8T**cwcWp?8yPS9w~9|*?F0aB;~T-Y0}lXEW2_`o`uPH^mIkl-%v8=60xZT zs~b*I>IKwD?h(o>>IVBvY{^VTNs=bTxMG)vufD1>9UvG=UZ6~P@bMH%g zp38ksm{6pR&fJo>DA{xAna#S+#}M2g+s)jWZo|aJC9>zD*kfGZlVNb)_n-mK@VTAF zPS)keF`o?kGJQaKMYBLzd_E!0ulT}H1U{L^w=v4ERuW?1WMcy-eM@+4$;&R7Y+IeT z-qPHiM>gCL?1QfJ32|rX5O{)6;>~9V)yjL8?!{SUnLdM=i&1Vi`IUvacVx4Rmrx~z zgP6>Pl^!A6G`Y;M3F1t=!|Ae2WOrlgwRB~C&eX2YBpgo`9o@pyCz$GB^up@l^uXrq zkyy_8w(SFUkSxi4t++_x9+ybClfIW2K2etvmNUb19Y=7ij`U1v&P43G1;@$!U#0R# zJjcxQa=9ZOxF*>H&DW`8TZwWfQ`MWyVjevPNy!hH zWM3EHoci=8h#0B%Sb6)D8K=wuawOMFFE7&L+x7n6t&W#|q`VLA!XCcotNFIQ54*4n zpMw1ay-Pd2+?Q-GL$Jj)1;#BeF)}_9PZDHFv14vA&n2+fB;b+iS9amA$8dE7azzy+ z&%AcNlKD0#&RRIe#PtY2wa@+Tez&j-hah}H9L`s=-w)uQEa=6u12IGz&TVWjcI zac?m$#|(E2w@B*tOwI1x;?H|Y#HUinR(>H)t#%>2k(m#P*M*^sJB*ZgHt<}h@q_UP z;b(XezQXVs@jvui9JbFvY`m3N+g~=!c_Pb!1Ke*?J3OH#+GblUbLcy9GaNpHdGvAv zyK)V7R*qXX1cu!?BfgEd+E#Wvq>5YJk4>kQ)L2KG^IWYGvQ%x)yr7=l-CN9Z$(G4( zO|xeZ*J;|%s3gjl!E*37xc7q7 z&Z7lq)QpXwJxy*Dc9C!b_Jvf)p1eXlhcif-@=F^($b$5MkY%m&UYh__cwH6Lp1#CkSrHrFO2z&F6m>(1&G4EjKM_LK*;!CQp}psU*6 zqR~y?TtE&|F*q_-Hde~QYBdJ2tVA2Wc-=r68v*D`E2tDX9yKnPA}#6xV#-nIlH%n7 zFR=`$So02>ctA$#oSKLNiEr2$7gOd&jr9OQ#}2$qIDW=7Utp+h%N=9PvV(-;;QC*D%$UM>mb6{|L8lK_GVGwbl`X63B?@=cZws#xD*oJ{XFM~h zXJam8Fmp08k#a@1*h^8IQi&gF7EgY-yDzSb#mDb0JU{zbPG%FTN zJQ~yxr3=9Tuz;rF0b${bdM7YM28X$w&Amfun7FOp=Gc2ht+4FE>Y_7f6`Ju z3NCzRQi3mqZ{m6Q%ID~Tfc^_lh}l3ETZ?F$nhL&ZDDHcq_K>wH9)TcYuE+9DfD29k z0HX|)E-X?106ak9LA5ZrV=k>OQaC0-dT50-akTwX2(Y=rCCGKMk~)XVzuGxzEotA# z#D$GnM^d}J8K>inS(vap!gNJBTD2lZf9>-VR`<())D|t+!E&hO#idU$AJ_oKaE*S( z%JrK>rWM<%_?Lpy;ha%e%(=PJAkR=ufiejaSz1l9e`UdMHFvQBf$yt+6E#XTlKW8w zAi9}S5M>*2MbK9N0H7cg@iI^BE)7nL`HZocwL^}Zz?4(S--bhXo(=uQC@%j1sd9if zu>Sxsm(mEFt2J5|mHz<0_G1lN@ezI0QW?J>a1NSH`s4B$S2=8pPo@{e_H|VYFL}yj zqx2u@EmbwaPtC%O{!j8^o31#g3)JWzdBf?>6n;%kgWcaS0kKu(AB0I;>|`t9g}1n; z8H-~(MI?f3A0>17FeUR(`2lHH0fP_^04e}91G|QuI-%xfJo?SSZ-xz4 zQ;f`kSLWw}9wG~)?JT?Ngs5A=zT$;WeI=}wd4gZ2ex=DUhyc3B%-C($P^O<`Lt?+# zb_)~B1t`jC)Z29Ug6u(Tzh(ud^B4%dR0^_UEEHk_uzSQ58eT}1;>S?9v?(i=3SDKy zO}@w$QJU^1t#>c}kgZxvPEAd8$nGXOXPkcAfo(8W| zePSEIO6D#uhsyy*>3E1N#XZGdvdzJ*mgKBNAh_{$0k+OMjioBsl?_|fjdd z`7O|E)&)>3;x~m=bp)VFHIiV%n2;5oX4G;TnH8YRae-?yUBrSa60L=8yTHaUFSEGZ z*b^?)?axpcD$*=i4TlkoXLKMg(VS8&1h$;IxC$&8` zWf2eInv`!Ik!GJ;m$W-I02m@w7j{eu&#(Ugi$?(FUPa~uktymVu+uB5?1ciCXzHSKFM~r*rXbmEc(svA!Da29A)vK)03xx59A7iYU2T9AJk~0Nt{@O}<#t;H_!?%%aS+{{W<8MSYo% zxr>)IO8)>LAT4uB_rU}Udbt;E4P{{=n%y7VnX*SXezL$`{{ZPCi08rk0eKah`jtQS z4u&BuzGq!WChm;t99sVX$!_)x6OSZgnXF)&?hsh2pr{ug1wnCHc8A&}6^;Y_N1k3V z>IJbwR3cE?IN(1bnkL{^`2#t9Bl#={)$_U8kM=84y>%^2hfT4lD-GPZ*?VHFue=t6 z(+*+*DeK%x!x)cWP!H@VExyD12nRMydt_tGI!9yH4_JC%Q>(ZKD-NOxiWt?ZXR;8}-YzWe!m0(WU$Uk?)$(D0$xRa>RC$TJ zEPTpCb&lf#AXY{Kw|*dwXrl*-Ww5vu86F{3OVBd9PzMr3?3lmOX$28%#5B>v3a*U&y5}Y%4z?#@>Th)IE>_OY2~<;`62#43 z@hu7^88*jD2NK2&kS&Vu@*NP^MyxNqyq@Vs;cA|B^$J(qPQ(jbZHya~L8f1l7#FL? zAPrbJSnlE#0@i~2R-u*NkVYb8rn_-g%Ju_B>OEdEuffQ&T~%$&QNWFWoFgzbliUqf zZ02HtqM|u7Ek@70YA!2LHlfX8TCTlB2Tm#*2FL)_T&z0qz&NUPGO`|CApo=$U`>!g zEjrIP0CHohxKWdx##TBPz_Y1gs^S8beUZZsHDscZWmF!QVj>#`el zwmz6;IU?pOU}LOGY_&W=wwp~vI=?XixA_6B-%y@EAS+!CX^iMOVbr9xFPekF&&=!5 z%@8I7UXqh2#~~FO$CQMJ2QxF9DghMyX5bnXe5_T9SDi#CQt>LWcIz-mwvFA!)V~Z% z)7~~{SGY5&OmwR-PR*Ubt{lQL35~a*uShdUKC6i|O0yiLAc)-sR11MsaMu_&z^qI2 z&H&hbyhMBi65Y_*;U%OgM)U%1w5(8pPWqdGadFM69v+Ecyo#G>_|7FF3xa?IcTQzq zMLbG&i#vo*GazK5RcNd344v7nm+c>aIT*mst0px*`MY!~a z3f9?_8+0)y?DJehC^XowfB6~!Jo$@J3&J?ey{5s#EZ(nG5>b_(5KxQA%vUgj3a5{m zgpR(LfSmc3u2V*$*-3m{wHq$pkfmvetTi;ID8;d(boDX;@x-9wy>}K19n=+oA9xB* z`Wbn}X6)U@BX`7RyXvNty6uHQ;0Rx@@!qT}?x-vR>7{pZ3}0vrE;9Qr{6fi~<|ZZk zL;k@I$(5pqo4SJOC9MZQu6)&I8}Bt$9qr$_DZwdgKN9}{T@_($Lc9L}*j-+%t#{Zy zGr3Qaz_GM2LUN3ESRZ5(cR2t8uO~2*^FnwF_i&i$lO2Xo7w2Xk7fMH*VO(TWw6p{W z08N{ByB{%i7J?NV55uQv*kt7Cb@0_Zyjyc3{2;{BT|{Y-qy^9{5gBN_l1Fje*=XQ3@)Rt3P& zx79!7XGYz+p}M_w)9+Jm>7o9{X3wYXHzGHR6W($|(yzsiwgx}wVl#nSU*BG($$QG; zR44~!pTc1U_D1KuC8@cUtL6oE3%2E3^5EG%YXjwO6e~Ng@RiZc$YUE@TLwa#N9I|q z<=j9lH#?gK1XNqAc&&&{nOxOO%gw1v^Xl z`GYjP;lIKT)lDtc()M!|B~%>G8joyD;clHH8%qv}(jqS4h;v*CqUxm>KSnQ$#Kl%U ze`whC?yjt1#Jf0Z^~P18-O|)KBich2)nA!rZ6GrpXC5F^sVq$glwRFA{?L#WV0r3iWfZgn<#o zXO|y{!db8v;86CJe#o?XF6{pR$(dw!IsJotG(th*S~4n~w7^Inf58Kwo+v_)0*wYJ ze<@1>k6Br5ESGd;x+)g;ezJzz$8!OI+zTGGLa|?`Ftb%qpautyn1T&q&w^2xJ|(PO z5m_z&03{|2d04WiKCq*15lX{MC#CZOE@wa`==ss`#ItFflPg1+HxLEV%#jqTH7%LdAYd%%h?w!vsK$DW`n zB;t+y%`DIW4X3g;lzyKQ`DE+-BWvf8A#4)X+3^A0dNVKpu|cad=P~S1*5Dgb>j0Vd zf@vsS(t&Yvu@as!Qe~;GIEjiaWea$!3w17**z64@%s2mp^5H?5Wg&FXBE@o+2J5A=Bm~ zD7u*jYdaWGr4!Z&mXyjVzN5!}&??Q$tV;&$biuRL@hIIi`G&~L=3)~txgo}-uiJT) zM->DdSkdI&^prhlN%|1q61_)}75fLJKC+j3XpWFk{{UKtH&jb`*cXU&pX=fW1)N1} z3poSb{{U)Y(>OT$-|`j~S#@|+ejpEQkR`ct*-`R(@RzlZsl`(R4(<uvxy5UaReY;WRj zl=MX$OEMB(c9Y3*CS2yQVj-gB%iVV@Y1-zxihCE#X_H=-MjP}!LF6D+%O2&u>@sFTK$Q_Y&^9&LqSdHVlj9c>1TwsryW35>!u6}EmZN3{FJvXYf)q? z<#8psfs!C<@{uLSrD`Z?!@09xLnvR8M?M3~%(kV~Rk2mPsfG#^J_(Hx8UP(Jt0}^< zo*@A14u9^V)zy8)I#Rodl^#UC637d#;I!+qQDw5X1n$|FqN-rQjyy-F$=o*EgAKBo z2HeCJv}1fB=M(r!huS5}x(g;}NY?(TJ;Ud{I!fm1HHb9GgO*>{E0yH2#B9+_B zL-8J*ADGF+OTdfjRIw~ptPHb%_Tsz4P*#~%u3gh({f z3%O`%u=SL$yx8IvA~cxJ_OKHQJ;uS6w^vO}U6O-ml~kDq6o=ZOe=&PkuO7mNU~UrH zm15w|3&c{dK!w&UuxrgjCj(2zI4bUI*FV_a30`Grqs*Yx1P6@&0I>@i;tE~+z>2M< z7ir>9w`T-Sf()9*C%g3$w`Hub;1zY@f5}@7L&=Wdj#X8S-MkeznA5Ef`6;{$cCt5=oxTI{{VerH*sY9-99>i zW~oJq&S`r?Js@xdLuh5i?oqt1MT=pBrovM4tYv6%`hTSC=(|DsC13)&v=U${YzF$u zXy9I!pcJsY9J)}JsWAryP<(O+61lTqQ7f%%fPRtBGs6D>7#7c*zp^2!41cL$DRg!p z)%zA(AMs}h30KXn!ItC<;vil$t0ui>uIoJqg2(PHX~Ad$vKBt^!F6t??%TrqLO>b_ z`XV;~SSu;t%)I$+g4K1`n5KaBmqk9~gi3fSX;Vv!QiRrBm|ZIU7A)kqTZ_C6Z8t57 ztK^-wDbZi{6FkNOI2nwlE*IVy*O4k8*vSXW^=36&5Gu8I$pURJ7Nj;{iV-teACQQ9 zcys0q-8pJ5A@xRIStHgWuJAt6AgP#c3Kh$q2yIgccZ~Cu=?}Y?C;bCMEd^zlU~gxf zdQK(&SNw;mcu(Z4A_KL*q{+_Y8XG5u1M;uPB$9^!=~qwwOHNNi`6)+Ta8z-6&S4rw z5CF)&wwKh^z%u0Zqf*{gU?K42q8>(wDXc6iSX1UuW_iJ@Rr{{D7h_40clQdEtBStZ zvsg}3`4`sX{{Wn@A!}w2sM$#l0sNT7u7LKGz{aWo0bY{IS;v`{w^&-#L9wNA3j4C9 zs$q-Fv({7?qjJJ#x_Ux68PMY_r@(ELsek;F-E)UR46jqwqY)Zg_;p5B|&?{%$vv=tiRC+{Z zd(}j0!~(M;YrK#SP^?W9j!kO)gvk+$z4eX9e8+t#d@;!$Zwe_JTcx z1Rn#=v1a!LEU%UO+)m-K%gjZJlL^4|HTFues2n}8s z0#l{^!AcuOB>`9ch(I^Z_b~(K5eaA9u&i4IycyX2VggGo%FtW^mVE zPy&&GYN^2cgsip|?iY}onN0J{2aXA9pmJ)PU~=LZD}uEZ4_UAmnR@kz5!_sGJB6YF zYyie)T<_R|wr9*Kkh7^q!QEWG!x9`V2EXcpnE7C?EJQ#HNN49^#c5z)RfnahNlq-666vz8U$<$Z_SF$y)g$1t~78I4Ohc$jZam|JSH3!G(` zD=)uAGVH}&D_=_D zECT3?t-g!}hkUZYoG@23)9_6w`NLan!ImD~gGG-f1R4%XM8q2G;4s2LvyeJ8}(> z(NuZ}rd}heCl7J-WM(tUfpVtxRchYgA+e&FXBS*z8Gzmv^(-vp;gk#~q*Q^S%n_>; zy9|8Bu8pl^ba>t6TI+*GNP1OE<-!EpZ8NLtI>Jp z>w7hn8Mhk$u9 z$Glz~>WAda22tSEkwx%)%)MH(vAr;n94BGBxEkUHadizYK?(1$J8NR7Jo7H0A z@RfT%thI=h*yP=?2CAER0deaEff%aqsjEu6_1x4Nk(^(9hr1Qq6|lu3aPt`ZBT5TB zFSM~Lu8+8)h#NrV@U3q8jmm@MEG`22ap??J0f^ajJ(hU5GsM!;oSCsq7l?iLAP`l) zOdPK{yh;`;C|h!Z%GcO=OP; z>~n#t38s`yA^yJRQC)anS2arb59C~SBia6bpv4LW z0kc_pvWkoHD}0v>BP7YRZhxh=m!6(wdoF-CK1>>$7^ zg?HKZ&4O8LF?B#bSLnfZ)VES)v6ND_pSc)0sV$CXGgqAC)uzTmen0M67mYP?qB2mlnR z;1;Vm)U(DoUq89Udf)%T+0}u`4Qo~`XS_cZ_iD&?e z8}b-G$RCNX$O!!NsXUw$G@|b(>}_<10R0%)8?qlQqEDd?Jc_mHQlu!L4`@bn+>3N=1~_(cUesoA;DRf;WY4tll;0+zO~|i$bM=xDnIv zFWe4I`G^|X7i4?nU7?le%Z}@`CA*HjPb5&ie8h+=TC1g7f+J7$3KW_Rscs_H(4aMS zHx-vH-VMg+tN#EeHeB(bdqzeI>SF=ktQ_!X>I<}jt2Zef9|Q%0N(!g4D&tDUN*YXX z`Gz9>fb=M@O+;!}Bf&>73&u*k#>fgHfpk1UKzsO}1NK=9r-Zgy_=~F$*Q9lrZhWuy zRYRQXb0{k}8q;22%|0T5On*po+T7n~G4ROIJxDR_~2K zUPCHs^YpO*r&@+nO+9tCW*P|MFy zD{a)Op@*20E_B>%R)5HvML`Ch5h}wd)pVr~>}5}o#LTR^xG0?Adnc4PxJyYv+}uh< zL79#!hsAv((gYgc+@TWFbxN-NA<}rREHeA0KVyNL)Mc^nsHEYJ2q`YAVM6$oJa-o$ zue5x|>N8eT>?P`z?TF;_W?2||@&&Br;9Yk&k_M1qUMIEkwQgW)UE zK)V(-&AOD+21?E&lXk$+qo1!4g2X-IA&}|-Ji3Y4ZpQ0A>`DsxBM25fe4n4Lpf^UZ zGd2mgs%kPU4t&5w9&L+KPHqf>dlCB{b2taC*iYcChp|k!J4K-9lAc>tAll07H(%e# zwkuB%DXYA7hyVhnaS>Og*)?#os6PfMoSx-}z$pF3vNDCnTB~Bf(|yBbWjmIu^O7tZ zdPLp*$AI;U!`7fSZSex_yyW|r1f|u?!6xy41h7B!4MhsKuoWS8QPO{SR)wx?ey}2> z1XXR}mLLyg&JYJ<3ZS_^$TH!q>;PYgRI6sP_=+*IG^?JpdqGzb*~hHME0HSATbqhP zPhB3CrWHfu7Cgc&^hyiTNG>;MD+{}WHlfD1>qLHD9H7wMnO#IBcbEGER>5GKyq+_{ zJw;YG$_u&k1ET#ggWi6dm_zXG;_y929zqn1J?Ou2jAI2y&J5JTD^=@uP`={2c;O4h zL`zdyS>9`=Ts3DtCAcx^91B)x6Vd6m5aRQmeqy=>PeVdy>Irx$6wILsuT(tq9PMSQ z)H#<9J)vJN1wA2f!MCis4mVMq)q&|%7su^jw$=tuFPN%Kcwitvwz2{@<%~bYMXttQ z3uR#Sm?^cQw}fzK9K#W-C2f74{lpMS3cGItsbmXIPso^g$-(Z*a{P%;7()Frr(?Xd z_l(Ot2A_O2UwuY9M5kYc4ZeQot%6e3rxK}Vo+VkUmR*S&6CtUy!sCOgR6gEQ-ePg8 zjPOFix+{V?1Bc8nDDafJPozS)vMR{k7$T4H0##-w9l&FTvAO`SXhf=eiQ4@jZ3f(T7R%jFz(<0L1nq~D2lH8g{@}J7+`@t%CB~38^4H_C+JYxd23JFP=qqZJSZ4U<4H~h`qHLE7j*HE~1)6glHW$4GWG9`MPLo|Z2K5zr-7NZp28qEDb6oFgR zaBLK=nF1mR3ZxGape2wpy9{B}={uXi73$ZocsEfQ1G8kwq6Nr-hem4s6i_)e02L#3 z7%q94_7KA;i(PqZwk6E0C}RMJUgx}}vUUiKVA(d-YQl+7;HHYj-Z#gr<3I}jkGwmb z*=%@3e88=z2B*XVZKH~WV}?7Lajr~2*kw6^0B6<)8pAHhzN3O{)xZWaxr-Sn{Y7rE zOL_kQu2oJK%vSVV>lO17M@gt9mvzL%@%J(YD{-Rp{{WCph1hWdSQpHp$PfY>b8V3k zioPc*v)&PUNB~g0?pRRhShl~n{{UQRNY@YhEbm(xiBx#0g9YQbm{zUsQ)J!LC{upp z6>J9Pma-b@<35D4)GR~L2iX-+Pyzn{l@Z9UpDJ`CMOKR3x{Qpdi2Bj$=iVl0exfDa zJN)Vp3eLlHZW%N009mpDREp(^QLHkQ+k@IGdw8j8TV3Ym8@o*MDEa0#v+(m1ZYVTM zIJ)stk)I5*!CbkrAhz+`*ibT@$pn}d)*udVR|HhT@f!kL*KtkmrzVokjWI6vM){W) zsMYew`T!<#ht945C=6r1A(F&`w|W zfh>K$`%IYm!Yr&(hUj0yQ&Sz4L3yy^+%BFWxAIGtt_%;!`?8oF_ z*}M9QNVe~Cffm=!q4$~~jTT_WZ#CU8Dch)ct@Wvrx1U(DUHY)>DsbNx9;*Qh#=(cu z+{4xy*A}QB%!4_yJ)~rO^P;UiEN@@Kh@Op{oH*>6Ng&w!Oq-m&a>lqvPC501-3)wR*e^?b`U10CzG3H%Dla#Nx-hMGc;8d0~fL@do;BriD z+(QrMU#Kd@BJFuZtDEEOvLI9UfAbp!Mg>S2S=lerc}tijCSYzT+fnZ2tf#h@ct3e&Ge~8Leuec1bR)SDdpIyf`_tP$Jakye5*k808GUF8mH%n58gV8fSBGeiNpWpPw8exQV00+>38{(}kyF{pplB^R(EdDSlw^vIJ-M(RoK};|J zG(1W{yvO{303DjNd`^t*<>5;!{#rsRD@-J-ZQ0^AFqazqWlPY_p}FI7%dOxg)GnrR zehAaDmPfTjRKtd!h>N z9+KJvjKgDCcwhz#uDO>YRQNzq614)4X;tcWOCf>U#)gpxH7YkxGaL(IqgX8~sD){} z=5_KWw72yJQ}c1*Zg{AG1+%!=u2qQb(0l;=K z3tM-Zi-m=rAbG}Gln&6e;;)7V${aHqU1<&NhD|xt29$r=fupk+Y-K9fvJh!&Bq$pa zV&R$vIK4v7!MG*OuQHHi8!iojg{~!F)uoih94i>9VvHqfH$uCB<)hXLv}D#L3rF%f zTSLxna5t^NN?aV0zskuJG$om?;2@@$Jtc<_3#|G?9p+S88R8Uce6s-sR=uL-Nb89C zvr1!NJMZQc5mJtGF6i}*Dg@ia0#c7RdzducYM>-$*4&*Wu?C(RA#5tb^>AJ$FEs!Z zv3i59E4X}iG{%KcxX}h2=cv%3TP1O3Y_*Y4KDnFj+HrxI4yM*xFhch2X=2! z5n2q8YWpP(KsbrjOm5E_;5{ES%SzBS1O9%^hiP;EZ5Eh=2s?;snE`VKNM^re-;QNWG zLq^ZJTn9{i;VZr)ISUxdNX`x3(3a6$tHX!Xl+RNPS~cw}j7%q}`Wa#Y*4HcVESCOX z<^cNZ{2;zgpW;Vjy=yC18^1D;vt_Z>lFa5=3_zinY)q2wb2M3siwzGxkVew`64U1|h;ANQ0&Zq>BDyquSvu%6vsHU4&DDF=*S3RlKrbYrRhr6-5bJ?aAB{4d0op;%_zJS5Z0e`aOXy`Qkd zkySs)aY0W6{z7B%!^BggZ;nWC+g_;cw;<4Pda*j#8LjBab$r79mAfrPH0T2YYS96g z4ruWX9A2jTjm2|SnpZIf2NE(IQ>9)r9E$+FqhQ#_$$5s7rBsZg!kb?P^EJ696vbNc z`2Yar+zUlxS&Kt6TVhrzlfAiNNi7s8YCPd8Q(I(ZgWfd+u8p*Haa4mFXB0jLC4enK z&6~9^IDoDupe+vzMf$={<${Z?Ez6EPG3y|X5+n?jbRfpYUFux-Q^i=+M2Z1-)U%^l zjakvC^0;w#a_2LardHj+FmM|+Qw&RE4A{8AQK-R0Nq5gR3n)|7%8Vv>oq@bY)=n~y zW=}x$KkRQ>_OSl|j33n;$Fv#DsQ|No+3D80>f)5TSSGGj>kV_L9+*hIN*)dPN4W7f>on0vMJ4;H z1qvGh#!Jm^>Rdx>g(!>Q=BgvY{SE<u|*?=)17w23oih9 ztTJlOLoV#efLPj8P}=&PI7AxMKoM-fE8(V~;Wqi09Q=1FonDi3a@>RvtmY!Ds%2S9 zdKGXdD)P$|7LRhltU;pKO(aOt%4F{>6zHst%b-|p$Fel!CtSg+ZW;jp0NAFi!_oDY zDwc5?tY%fvg?rZ!meZ&^IP{co%K4XfMo!3Q;P)sfUZRUsTC7Wg#(S4ngU@kN*H1E? zzP^w^S(k{FYU^>Lm9@mD2>SB^9(>0k!0J&O=SJay!6*kca)H(QMy)hR z8KiDr$?{DGLStBYlmLZ1PRddCWfV}Z%ES=BRHpQWXo~Ei3oRKtAUX~%`HxoI8+wi( z{eWFDK^~xH1i14})f$x*v=j$H5@=8??Wu%OyyT321oj$TKm%&1@@dl zBqp9=?9BquSPTlKGQ7~bzd^RL;-*DlVHHSaD}Jr!P!^VS%ywMWtu6lmnPz($$;lBY zhYAod7f2dp@?upY!mBkXjSg41Itu4AFs1LbOI42gm2tGhMk0E`awn0vm2+zetIb%i zug{pH9Z^fiUXip)&;DU9NgSdN%QXK0hGndUF2AX8`lDNFT+xn=kKfB4P@ISO#06s_ zui+GIRl@kBjw6PM+Qtr?+q?K-mmRX83#KZ=~ zv{TT<4uR?uRC!KECBmq5Xv`{5fB-pqnK+30K(`dQeO;fhIdR;pz=Zpb;I&`WL{WYP^tI`Z#sFrNuct%*0L&OmC5TN0 zZk0bKg0hWSZm210zTg^AZAHjKM|m=s&rDbSi=)#E;Z#dZ;2)5Q1?G>!1{h8NeI&hh zWOj}b-8a?@5dh1O{y)&YdeHm)N-@Z3!>*O6@0e~q|<8P)^ZI%GxE zO@{?{9#PYv<>KBAQ<=r<6c z!Qy39>8pWv+E)SwGpUhTL*2(A!@*deVWLq(_c#F7;E;xazlS$L?35L%`iqm3wgJM^ zm=G5MQJ15cNP@raCJB#Lj6#&HSyL=1@wm{uvc$Z%pq8}FIrN!nW8H2NRROpD>M#b( zkH`McB@DuYPnlrlOX4UnFQ@}-Jj}rw%~VOi*JN18T>wO{^bd{8qEAUG6r?Mv!m2Ty zf9ntk2NmD0Ag{F2mP&ElG|@z-Y@gy5h2+^HZcl^yrv_IC{TLO{fa~`jXj9V^K7@ez z#CxT$C)^}zD;4(>)oXO53-6d)wAJK&)V~{TBp6y_ZNtf=Le!;tipp*qATE)L^^d(^ zOyV0gjw|Ga2+(g+^=~y3R{X3FkrYL}v_;EjQoFJ>3+|E-Qn;t8o@xMWWVknbwK$GjL9^%tCu8KAB)8V&b-8&&DfE@(xyoK?Wo zyEihpYS~A52Ktq7O*@Nx9(#kkrS4D?kuyttj^eG(E8;1UAePAh7FGC|G}w$`S&dAt zWLCMAAoquLOKAC^kMp=WOXmLx>32(vUuwT+ORotuX-30Z;iU z!f~OmNO^lGAFH`zNu!kQtEd%w#vMdktm~;|XVlc0(=a`Fh$6zwMx|{Qokds*Rr*W- zeuwO_<#-R)2vRoc`mBG81 zQp%TUH(jx_T2~Q33ztC&%~vr~&-*Remy3v;9_A&nY87o;P4O#52ZSd-hN4beuP{_* z^`EIkh8fJSc;yH71efzZTLDi{uu0nS%K*WP(k{>qG>%0Qgn!7Qg}{tbEj;fEOXf}buSs5~}g4SwU5P z7=txOHx~efnj&SX%?if3mN{K;lAEGIE+0vJA=h8VAk|;Ql0rqtL{;A zJT?8q>?Sh)CA1Q}4_{HrTVMJJ6m{!k_9;T1mHfe-w}C|(wd@t}GfC?w@+zY@7GZ9D zhW#L?j7F1c55)`pm*S276{&8x>jC`K53dtxkQExbkSz}a6B6m0P*EaiZv zJtrktG6Wq^;`ae8 z;M^!EH3}I#7Z#e{QZxp9iJ!UYf3qf&c@4%YH0*v6&40*s8oKJR{xK*P!L$8LkY6Za zC{JJ<`C(d@rdPoZ9sdB{BFX~p(}ZvZSJ`zjnafA7m_<@N8~vP<={56p<9{Vu{pykR z1$jOfL=c>LZ`}CcclJ=DodvI%P|=l~B>+Dl{{ST@K7tAd7gS3-LyTQ3` zhtqHnZmYx$t=Zg0O##fuZjBMGDxRZf5U>8Yge>0-p;giCGg0wSpeWxFxviXc6~N@|6l0}dt*}EQx@>$wugBRrSH2NY zUl6+|9B~XBbyA?as5n-!G7go;n2Unl#5A#?=W!)B$T6yebQ8x;Gci{*<%cRzGRkV& z&UFY@CwZOF#SWs=SY?%20=|2T%_GDVa#kRqjR(9lbcyB(hW(-&qN9M9j^+m%W$a(X z$bF1O6_tQC^A}c>-f4=OZN%JUvv9=*Mx%3FW)fPi0jWeSbr9WIQORfj0AiGOJVcEi x@B&sxxQblZfA5&C@Un#e0K7^7OD@pbcTUkTp!3fdPJJ|Jmm|y$S#T diff --git a/thumb-diagram.png b/thumb-diagram.png new file mode 100644 index 0000000000000000000000000000000000000000..4aa70c9264183a65e62130d93617fcf76c4dc87a GIT binary patch literal 13321 zcmeHtcT`l{nrES)AR;0Nmn?!HK~Mq7K~SMU1<4r{keqWyP)r0R8h|271_?zDCM*TX zIin@9BuOq(e}{Xg*PH2n{a&v%-93MdYbnc9)H!GG{e@rn9;@F}qB_EK1cgFTp_Q*_ zpil?&P$-fN@1k3YG^Bltgsv$DP$3U$I6`Afp_`h_iAWOTo( z=dS5=*WK&3t0l_I%S+J4(az2MwzH+6ldIM1d1)pT>J$on<+7G{;@pUxcWh5;$S7>30bvo&_PeX1!_qI(h&Vnd@k8*xgD zMm_BSg)*nLLTK;AbhkU}1UpBHon+ zM*}Yy?lN=?ejK+y3kyVr-FgfE9!)nRL81P*_Wl40bzY#`GdL_aBjd_b2BEdcHi;>g zGhAGH*XcH%Jb6;)KBKWvY1tfKJzq@_;65-;E#>*E-3k*h$%+Zu-JI>pifX)auP7x& zK2N9Mccf75qQdHIPxGDA-B;e{e(WtU6t(R>yHIIZ;YgP+TxQe7jggrfDCHrev?i6^ z+jhga1x>f7A|KiI@L^NnukJbm&^tc6m zdPH-Cl2Qs^CA;~Pc5b+;OFoy_{O#KcBLf|s-bk0BQ=PV>SkwSZ(Pf7G?rtrQU;VdQ zUWqPm4YEbytbU0{^6~TUBr8UDc6E7tJ(F-&R(3ah%6B48w>w>l`s&rIjLJH4R5of1 z0(vPM8{WpQU(e|D9^Q6nisfaJbkB~%GIv(VS+%m5H@v<1^5WHx52?kTV!rf8 zlGFIRdru-GFG)`2WN#YUFHg3F-c`F7);i~DC^csLBq9PEe}c%O zTCrTbQc_!GN9cuI47(T$NBAw+*nq# z^H(Bks*H%I1-_}o=jQS~xPSl4glp20(WP~fW|!`*N}0JCS6*TAH9B(AxVX3@RM_Q* z_?ey_ruijroZ^UMOGRvEZf;Y*Z2xdq*{tmu)`~1nxLO+9h+jJUtutT~Ewh=iG9GFr zeZ$kUJdoIh$qm?A8!WMG<_$6)*<;q$*6`V6JS;_UOlnjDMcTysqxy#;UetHX3gI1xg-wBNFVrEOMwte^n%%bR0Wh+wtK+U>gVPK-UHH&)J$;H<4IB$IFX`C@n2nyVv+M^QXl^ z+}}IO!PhIF@8Jj`1SW)?Xde_9zS|UOY?mlo3!XWMrkC-FTwSdmMM33(V26PU1z;) zGGb(|ygKXVmHVqh;^RWN)&ORv&Z>ox!=dY0m%4{Fale!KE?&%@SL3xGSwEeTS{D+(VSxOr{{p`=TdGzkk*r@yJe(fVlwYGP#>^$Q}S@p zp8=UD;r3I!6}?Bbd#|D-?QiP+|417B#}yiBLF^@WTbPpES|tKa#pLA1to&i^1x8h! z3ldy8DJk!!{quB-bYi$~gtz+eU2+_r7M67$mv8^Y+up9Ns|$4min0Ia#>U3pl3#<^ z=6)k6g@fz2mUrD3M(g0(Xx&g#HI;Fwpx)!hj}00E>r_-#cj`TF-%f4o$1hn!oipJ;;rx7j`SUh}-hzUBs{ZqV+ZzTA;zU&! zm*Td{va;^MDmm{(Go-q{|bGR9&f?lE4{?$hd- zSy_hAl{-5-MUbZI{qxInSVQRxa?vHG|MLC^WiavED^a`H*RSm?=R!gbU|e9~8k(8~ z7A{prOcyO4-MMq8)w}T9x9bxV6O78*L5Ah_ONpRbL<_xd2G}14t-E+#F#vGwv7MRoxS|wsIP9AEwaK`;o_h+|h zNs`iKmGaaoz1y-~@7coqqQyeAjPF@Kz9y&xHp+h0X73Le z`%J{q35YOtWvTVGrz#fY&v|ai>fc!&#t2(QLNmLYVRi!}Yy4NIW9m$9eI=?2aYC2R z#N_@yI>WB04%!>`_g1xpgoG^q&5hT#?J1X$fMLF5e_nFz-MxbabWgE%eZ=tBVoq!# zen&*y9V>%mgrRD@7-N3;5V=Uq+ltQ)Yx<)P!d{?GjRQ~FMw(IjFD%Y=fXJGy&6|Yx8n(T@g$mgin=JW$8zzkK*QR)Dl!*UVfV;e=0jaUwVc*lwH%;0~_Wi zJ-Vb`VYqzGGAB3pVmUQ*$%1MFG;VH28LkRgE_q8IDRnmu)KHVYp{oPAz1DZ z6_Xah>JuQno;p7-R%vf_)dayFk3M7FDQS;ACoOIC#Kh{cyBgEQEcD&PVuHAbKI~jpc3GKLba%dfX^cq}f`OfnKuBTlG#)`~K#f!L9jV5g zuHE&Wu~scTbLPy|uV25~_T?W&RzGa$pQ($Y*0#aeT<^?5#n%Jv0`3{F2uD2E=i-Cq&N3iq}dEVI$+ zm3`}79Gm!yRhNq^+j`BOU!VJCV)oY<9_A|QvZ}1Z3>RH99&v zjZygS*^3uDn}{An8WV;!g6W=trKM%{&e~8vWisxn|CIxs+^(+u@FDZ&-rMRdQKw&y6+JQ@r%&gPeI^N#@SGoNf7TkXAp1wH z95!__Su%cI>-qD`K|#b}x3(F~-gefHA3s*Mm)rKnSACuw2N1?}_uGrqhwOoR0L~0b zEFK$_Sucf}DkAMO`=jX|QFp{|q0Y89|0TVUNq)0n&9B({$o+jk5VW^7Ch9mWE^5<> zu%_O&z_n7%T?2%S$WuAhZ@zJC*zvr2?b__l`j~E!N!{$~Dw2Z1_}Z=c8YUUvvJW3W zVx7M$tj-NpjJhrABgd;WF*(V1;leGpC?)6s-O*=M%YKqNb-6d5)SaGu?NsQ-hTQ($ zEx)y2wpC&EFGp-gT9gl`(8t$W@k}S|2xK zw-!+=Y3hBP`S*eJ2EW3oU~Mg}?8?f1sbkdCy4SCVLmxstR(cy8Tn-!!`m4_#6}#-P zCl@MsAE|!+w}kQ^)RTYvh5z6F|GoBqPl%IKac66NJ#uT6kQE+&)bCy}t+JY0-wm;m zpRClZl3Ft}GxW4%^<`xu5lo^@Qfv~g8GsH1xD{?t5orWY9p9c5Yq$mF+DI^AtWS`S z?>FNVK$^wz_ekd&s&MLq__q4hp@Sl~pc5EBIycr}Ds0uNb>+(au|5+BFX)VutX{L- z=$xD!)jw6t!Y-7N3ks$Q;kB^{3c=zgbhvKbUw+==3s3{J1kx|l515VE{OYL|e z8&Dl~jMdiCp<-zL>xtJ>yMV?;?EBAilGy=(XOi`=yr;F=_iW7_mI@6s!>p%0g)*MY z*l!N|XU%T;`gMs>%wbR~^bpl=zhmgZx3@z(HV++RvCh=XH?HZm8m{$OP8rZlNp-6k z>20leb;sPi!1C*tFXu1m>gm0}E~MAi4qLG>Fj$>yh7xCOIT9Ax_x}(l#*>L?5A`cs?|zbNB9> zQD4G2U~Br23Dv~eJT=L~YBW&3S*8kW<~wT^>hqGMymHgh6rjlrR=T7kx&=Udn~lZq z07GPFSfuysc_M?Ue{ruRpvvyoPW3sFtWvgs@|&8PI#Vu(&L)N`A;9J4W!P6i5V+79 zHa0WpBGrAGMFs${9f3QgZL)^Q3#$1VC*Z|fDWU|7`KZ{o&3{T+LPh7`;LzzcnG&D9 zr9Z>!uvuxmnt+t2sf#oRoP0vw)o-L0xj z8kqZ}wvG;;NOx+8pm=2O z)Oj4iqx+A43e}PO~T#J~_gCD}4T-pD(GOZE{P%J8H@pF4c+% zgNm-%*_6tplD|PPYPznjC5T?NuNN9%ZQ~$Mf)?$3o=Y8n*P44_)ancwiZly0Sbu40 zNz!>d7#JI(`yt@`m83`ReC={;OyD^(N^&z++i)>eSND)HM-tSk&a%zBiRJ{tXJ)5v zW3Q3Sn@N&6rVW7}fes^N1R^1m-TT3I)Vco}z%vs*#U$pKnC$(7;_8(ve*iiXM0X@f z+3l^5sYV}DZ8EOWaVap|l4*wH&x79Hw#9JCS`Uzph{K==za6_?t)9xwwMhOGC$vQT z%HsrRd2V+9{2CK6e0XrUE0mI6nU>odcTzDddpa{A-vW(BcZ4$v(=jsISnf#fkQY%B z00*-9FJ6|}-M}LP-px|8s*&i4mfWKh&uM8ma381zd8CKe4Y?0Se6*SBg>H^Hyx~%r|P}fk0x*Z zs{m2bk+=n!5X&_;h$Uq7;T@QQQJ_sqVV17O*y8)%4}dq6FMqN`^R{&IH05bt_=F1H+9Brul(8#LZg9(Qhw>MLlM*-5mGqSxT~uU#a4e~*?+?jI<0zQsn5<{*-zxW)* zzTl>BYn#g#wdL%93f_bDoO=G^MK&-h`6`2k_Tlc|(2lSdG@_1Nhh%#U_1jvFIxL^q%RIiWv zfmGONfoiWr9@mwpD^Cm~C-@Dv z*4pT-p8Rpwnvqu*%)@}v*CzN#vqi8<>9G4R-b3ywj@+}S+QSYA^T<@vLl8yWajag} z??cGwV@Tu|kz4<&CKPr7;Pb_)6_ZfT56jY3syf}&qVTR?nR*VJKyt$dgSFt6R z<)^J3H(#?LTetL?dH)6=RYl0(xszrRxM}$yEX-FZuduN9AhmP~#P9P8=Y3;9p7VnL zhI1Xn4=|u*lS%Q&WdGl2=F8QWh8>3TO~)w#MrUEmhvh*apFX5OpG}P zrG3y)K#^YuiokTq{zbfiej2nO zq7jI*D<3F@reE0=c1&S{_8*6k0JH`!k`-(5^~4!&?q11qa8*XU@e!}YUDA%R%i43m zOVPt`6~S|?A!tA&>hhUNnsUppt~MyDC*}eGNe473MM82){{HTw_Ai6dyAiEm`!p22 z#$XnNW!y3${)1+lz}cYVY7EA#Jq@-+pXLM z0z$0q+JbY_)HDv7yL3$>bK{>u?@SiqS9pEENvds}zjhaQHwZu(+`$3^u4iVXjz zZTdHA-iE&dX{57+KluO!h<7*P)z>3yJP2=~DCmPiS5i{a4N139Y1NhtAfn{Om+&E{ z2IDsA!V`dX)6L+Sk2$Jk`<%r{m3yu|%BZkd)n&ZL4hg&ROEJ|*Z-)=!>?dN2E{x=N` z)P@U?)j#^mY&2!ohushi4hT|*u#u|xa0ON~R=v`xy1F*xWT;Gzk`p{aAIyFO6fcpW zU4JO2*Wn}!Jp7@oR8Pbkb{Cn-jecQ}SBIv#(Lwdcd3nS^H1VA|gS8(h>0MHj+tHHS znNbrB-1Y{G6sMqoI{!3;?46K;f`WUS%(^w$6-eE&4e-ct$V_L#m>sh{wzn1<`r!O?WCMuSOFtUvPaJsn5~}puG&mEG zJf0)!Mr)E(pJXxyQv@E#biASCElAb}_fgJ7xM#kKvT{4XbFeH$8b5vNmP~&BJk_qS z>Ke7&_S+{WQHVY_iE-2^t6Aanr$}UK+}f6(NI=?6c>bZt1{|!t%V|mJu)__TujlI94W zg$jyylNJ(E1FG5yaH;^2)W9H%kG^F8PmAE9(?GJuU%Ytn2V$?mD{F%R+*S-;CL+x5 z5Ldezc2|W|DM-fUAS4f{C@6&Jks!u= zd8(}snlsh~e@%4;C2|*P2FW=-J{8E(vdM2RH3?B-;Ob`(iW*Fu8g|Mv-BC>Zko+l& zPffQK*aKFcS9{LQx^2RydqCz+H|!D`pv+tHvIc(+g9ln0d4GRE91o=y0qxuXhaAcX zXvn|4rRk$dtdTj55Eam1OuWC7-AIzurlFyc#nFRT?}Golj};_Q5oBbP^6E^Ywy{X^ z!ne2=U_!#gN0X3y!ip{zK=2w= zI;TpyPmjC#04Id{?o%Xyk~@N|rSHuR<~oSM>Yvf7{(`1J=jQ9T9945rq{8s=wN&jq z9nk787gV_D)p{43_)MHcK0~(Q8YegRHP}Mk3da$DL5zq^G$QUJpK>Cfo{^L^fZky{ zT3fYV^5n^pGdw(m@%N#C{m8lDwIXhU|F#OSXTvBS>XF>`ck1{PB;=Qnk2eLd&Zyi3y@-~)S{w+j-H6pZ%+Vu*6Q2WVm z0NT0_9pyVlsD9Sn;L{gD=ypw&0LIhq>FGfpa|n6NXr&9Dj*V@e)oG+!8w7sr3v&4s zKICB0x^B(xgA|5F!9-2e>G&1Q*dz{Ix-q4-kAU<5!+3 zf;zwo#(!k)=ACtTAK*%-+M%_KXG3%9w5s(W=tx~gkxBz>W9dn|N(sO6O-k_GxgNkq z=eQ3X&xV+APF$NC+6qS&Fat|_=YpJ@aBEvzMJ%cODL08oeB$Dl&dg3w_agBCuFi6C zeQFvcuqes!@z>!U1rzGYDNCcl=2zk1;Bc)nvXV|{k!f*dS(SBE^Q$&$IvOJLz-NCr zfC^i(mH~;EoBKO*Pm1eGl%b^6_0gLS^EUr^^CYEs#?{re474`1Mnm9^d#}D#(hBi^ zc_p7ik|Nv9B?PiPye9t-uOv_pypn1MBO~+Ls$3O8)rylb6`HU83<$$Tg~y(_VPs@Z zUoRPDxH(;U!1s;k{BRDK-^dVC^h%4^7y_brc9@b+lLq6u7F zV9D*Bg`mC<4=7h5@p}n5?bUhxt9`1OncO8w<=}-tROUg52b%f?ITpq~8)x;C^wQ~w zK7+`hns2Um0H4Wi7HR;`^40mq{*la7z7+&GMzQ<|h*4F+6IQT-mMN)TjmZPThDh&Z>KqF)b~@q$C<~euVFSQwKyC zw@R=W_qYv)K?nE{MWc0o1Ymj{p{w3EbVtaV=i&vNbS#x0fyp94LlbB^L_xh?_imyj zS}|HWH8uAbwb<{y+a79mqx~a}d4983uIM#H;6H(AP>}5+ zbym4k)|2p~OdJnYmomt%m4TNkI1Mfh0Lg@ppRtO+N4K`HfyY&9~r$fl_;#^jiF?+SG zgrh9t>YdNmzd%LprYPEXfW&7(Lewy3?~;b{0T>({Ly@tb-n8(VxAb6@TNW6O8e{bVJ>?FDoNf_}!q>n=A8ZI}c)mGn4kc0+ z4_zS7ZxA#Ee!GL0hJmaS!SKq@H#Rc*B@9&%>p4%&Canib`?X0XxxiXP*0(4mLIKCL z^-m^8{&7J82FG-I9lwBCKRZ%W;k!QXU^xeDY-T14vleMsW}WIcUxiblk0Gwj&Jaon&?gi zT;RJokx+++)G@eD%K{s{P?}X-Tuk4E;_1y)Wxx#0kTDpUUAW==C@N}wpv!Y^P#=B| zOi;|`uNlY?iwjgYKY<8kE=3BC==uK}1D!xH)ZW7jAw#6-PDJ|yE~0mnPVbU^e@aM5 zh-4J5u`ve*O#`o!CJw^}X2CcHpOloLV1g>RtbM^G2cgzjNg2HBFSUv)DJ>0J^o1oM zQ#kA=$P(iCb!UN3wS({i22(oV!)ef)wY#YW0j zegJEi&{bsGKs!GH+c@sO(xFt&`Qt3xdm;%^9)Xl2EM%c!Z`3m}*b}tS90Y_2HUQZ? zmqFq$5t$AXMb^99o7LkXlq*AHyE-7K1A1%%O$q9(xzru`8K|epK9escqtu|Qfct@1 zPVAaw_0U!VpiotB{CMht$aXNsVo>3jWD>OFflyYEcuPUP%|etLPpy7OZz_-}0w#el zPrX0P?k-rjqQUlF@&=CWy)Wnp_DUqPD^Wq)AD{nRneO<=DR02qkW=*}e}RKJmyd0(7O$hAmOU;pLj2<9xz_D>!F za?x|*sK6_*l?YknLL+I~U1F(Pb1!5V264D&zN8NVCXVkSjRe~c-3j#~3(` z`Dl9_1rxQ*$}?C(T6Xr?MjVa+kfRs4wFB-z8Tc<~4G_|?w?7^N;ST(#n}A?-p)eu< zwV5JR$-v+h#9anRjS4_GtLDT>&PYoeaVkZ|;>CS8zCVmhNZ>*9@$q#ox5*X3WJn&M z9J;cDNJ<|`GUH@3s4POuo*{4QiD6&z&3*xqghQkoH6rKn-I8X0+#Vq@AT zPSILMM){x(C`UutEPxRxM3Ldw$#0k2+f+lEFJQ$>>4$0uO086XbmVodLdm&`jI%<6 zUxhlI3vWRL4P?TzMieMHBEm}(I^`G1b*R*&m+phyilF($K;5u#CQ%hc6aXBH^TlaQz$%>3Lokxr1Xv8^a`6wfjsOysg1g`g5H)_Mm()a+6@_Tm?qG zKvJK`xaaUOjLM+S7uzaH5I`JoNEi3T@yjRa>A|DEzCS$)d=Bx=U`eI{t8o+e#lu28 z5|Pe;$Zt^H#W$%y+EOd%BTa-B;k&ci2WqDfM9LD~(R|6>gdCV&BG!J{=~NZ!fzdTV z^eeD-$4bd!%%e;qpz*+18w6r9i2tiIT}q`^ZM_gWS{fQ@&>izY(L#0`F)t9k#I85L znDu0u+2%%zQy?dr2yQ_h(p-b!anTu|AHa^}WM_Z5+nsX!#EBm}c?^MskQ=zs)H)C@ z0U@~+;1M}GgEJ_pk=-T{v_+M=T_Pdc+jr5uC0WK0tVng}r>j4n3i+zqf|h`QO&puw zb;y5TC^F}G9(=NU>krYY2$m!T1%-#nlx_ntjtgm%y_`|fKe8;|6Z$#H{MP5OiKG$w zuP-@pp@BzBeP1$9V3+mRgBN@f9=>8&ZdMG_E66@WHeg5Zpt2?*>Jqp>e;B`y0|It- z=1b{?w^6Xy9qCGWZ{IH7$AL~5lnnqq^f0v!+<4=cfFi;R(Vb@!jP6KKFqJ|MLj}2x zOh#K;W`6ka!9SASkH#P9Ag^xLOn+CX*RadsptH`eT4lHF(MnHtAF}|G(HLns`>x@v z9?s{-W1d;*HCPHl0}#iAxW2G})4Dge`28c;1bM3mRilB6AnMcA=-Qwvvi^2}9LOQs z*x0<1aC?K;5Ewk@Y%wdCtRuJN;7!^=%g6?21+0Z$h^`*2oi4g_dvkg96Rp~GerfA( z30WAF#}M9w_!p?P2RgX>a*LQ9RKwZcg4cuP4ta>(+>@(~G*oQ^gIq*ezHp(#Q%l4Z zDHTA^GaxzF`FMFdAR1xr^9{@uAYKSEcZB#dLl+Kqj_t0A0R**yVlBB)y9{+kFI_3B z7qh#<1NxLcWO(1F$Fv?WUk+QXj)WW{54N|fn}qhL>+NPI2fw$XNlwns7r@PVVise@ z6crVdKo%SWP+QDO>&$|4frgj_;=DmckE&1|%y~7HunL`m!CEO+N%!$(Wvb2DyrM)H zPfc2s6%`b0eJSRYJoiYvX+nG({Vit$il7IK>q5oL1O2faPZ|sFWH-?ihe)iR3!??# zcg?0f73_pTDdC-;JaWaX)Qc_~i?`xamNWP!?|0E&1t7bw=+ zJpNUvS*OM)Cz19B&<|+^@UY9^DkVXsy(7gG`R8===dJ&EzG07=*^6`Y2>t0f07xkG M)tgre70g2Z1tVwyZ2$lO literal 0 HcmV?d00001 diff --git a/thumb-diagram.svg b/thumb-diagram.svg new file mode 100644 index 0000000..a7f8408 --- /dev/null +++ b/thumb-diagram.svg @@ -0,0 +1,452 @@ + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 3 + 4 + 1 + 2 + + + 4 + 5 + 6 + 7 + 8 + + + + + + + + + + + + + + + + -- 2.39.2